From a528872406d5ce9ee35d90b835e1330ba05ff826 Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Wed, 27 Jan 2021 18:06:35 +0800 Subject: [PATCH] first commit --- README.md | 454 + RTL/ddr_sdram_ctrl.sv | 593 + UartSession.exe | Bin 0 -> 75264 bytes example-selftest/IP/pll.v | 115 + example-selftest/RTL/axi_self_test_master.sv | 113 + example-selftest/RTL/top.sv | 158 + example-selftest/SignalTap/stp1.stp | 327 + example-selftest/ddr_test.qpf | 30 + example-selftest/ddr_test.qsf | 179 + example-uart-read-write/IP/pll.v | 115 + example-uart-read-write/RTL/axis2uarttx.sv | 138 + example-uart-read-write/RTL/top.sv | 153 + example-uart-read-write/RTL/uart2axi4.sv | 265 + example-uart-read-write/RTL/uart_rx.sv | 71 + example-uart-read-write/ddr_test.qpf | 30 + example-uart-read-write/ddr_test.qsf | 100 + hardware/gerber.zip | Bin 0 -> 104508 bytes hardware/sch.pdf | 19146 +++++++++++++++++ images/UartSession.png | Bin 0 -> 22809 bytes images/board.jpg | Bin 0 -> 62552 bytes images/sim.png | Bin 0 -> 35139 bytes sim-selftest/DDR_MODEL/ddr.v | 1436 ++ sim-selftest/DDR_MODEL/ddr_parameters.vh | 124 + sim-selftest/SRC/axi_self_test_master.sv | 113 + sim-selftest/SRC/tb_ddr_ctrl.sv | 177 + 25 files changed, 23837 insertions(+) create mode 100644 README.md create mode 100644 RTL/ddr_sdram_ctrl.sv create mode 100644 UartSession.exe create mode 100644 example-selftest/IP/pll.v create mode 100644 example-selftest/RTL/axi_self_test_master.sv create mode 100644 example-selftest/RTL/top.sv create mode 100644 example-selftest/SignalTap/stp1.stp create mode 100644 example-selftest/ddr_test.qpf create mode 100644 example-selftest/ddr_test.qsf create mode 100644 example-uart-read-write/IP/pll.v create mode 100644 example-uart-read-write/RTL/axis2uarttx.sv create mode 100644 example-uart-read-write/RTL/top.sv create mode 100644 example-uart-read-write/RTL/uart2axi4.sv create mode 100644 example-uart-read-write/RTL/uart_rx.sv create mode 100644 example-uart-read-write/ddr_test.qpf create mode 100644 example-uart-read-write/ddr_test.qsf create mode 100644 hardware/gerber.zip create mode 100644 hardware/sch.pdf create mode 100644 images/UartSession.png create mode 100644 images/board.jpg create mode 100644 images/sim.png create mode 100644 sim-selftest/DDR_MODEL/ddr.v create mode 100644 sim-selftest/DDR_MODEL/ddr_parameters.vh create mode 100644 sim-selftest/SRC/axi_self_test_master.sv create mode 100644 sim-selftest/SRC/tb_ddr_ctrl.sv diff --git a/README.md b/README.md new file mode 100644 index 0000000..4e6e194 --- /dev/null +++ b/README.md @@ -0,0 +1,454 @@ +![test](https://img.shields.io/badge/test-passing-green.svg) +![docs](https://img.shields.io/badge/docs-passing-green.svg) +![platform](https://img.shields.io/badge/platform-Quartus|Vivado-blue.svg) + +FPGA DDR-SDRAM +=========================== +在低端FPGA设计中用 DDR-SDRAM(DDR1)替换 SDR-SDRAM。 + +# 简介 + + |-------------------------| |--------------| + | | | | + ----->| 驱动时钟和复位 | | | + | | | | + ------------| | DDR-SDRAM接口 |--------->| | + | AXI4 | | | | + AXI4 master |----------->| AXI4 slave | | | + | | | | | + ------------| |-------------------------| |--------------| + 用户逻辑 DDR1 控制器 DDR1 芯片 + +很多低端 FPGA 开发板(例如 [DE0-Nano](https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=593))使用 SDR-SDRAM 作为片外存储,而 DDR-SDRAM (DDR1) 比 SDR-SDRAM 容量更大,价格更低。且与SDR-SDRAM一样,DDR1也能使用低端FPGA的普通的IO管脚直接驱动。我编写了一个软核的 AXI4 接口的 DDR1 控制器(见 [RTL/ddr_sdram_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/RTL/ddr_sdram_ctrl.sv) )。该控制器的特点有: + +* **平台无关** :纯 RTL 编写,可以在 Altera 和 Xilinx 等各种 FPGA 上运行。 +* **兼容性强** :支持各种位宽和容量的 DDR1 (已在MICRON所有位宽和容量的DDR1型号上仿真通过)。 + +为了展示该控制器的使用方法,我提供了两个示例程序: + +* **自测程序**:通过DDR1控制器,将数据写入 DDR1 ,然后读出,比较读出的数据是否与写入的吻合。 +* **UART读写程序**:将 UART 命令转化成 AXI4 总线动作,通过DDR1控制器读写DDR1。 + +另外,由于各代 DDR-SDRAM(例如DDR3、DDR2、DDR1)的接口时序大同小异,本库也可以方便那些熟悉 Verilog 的人来学习 DDR-SDRAM 接口。 + +# 目录 + +* [简介](#简介) +* [硬件设计指南](#硬件设计指南) +* [硬件设计示例](#硬件设计示例) +* [DDR1 控制器](#DDR1 控制器) + * [模块参数](#模块参数) + * [模块接口 - 驱动时钟和复位](#模块接口 - 驱动时钟和复位) + * [时钟频率的选取](#时钟频率的选取) + * [模块接口 - DDR1 接口](#模块接口 - DDR1 接口) + * [模块接口 - AXI4 从接口](#模块接口 - AXI4 从接口) + * [位宽参数的确定](#位宽参数的确定) + * [时序参数的确定](#时序参数的确定) +* [示例程序](#示例程序) + * [示例程序 - 自测](#示例程序 - 自测) + * [示例程序 - UART读写](#示例程序 - UART读写) +* [Verilog 仿真](#Verilog 仿真) + * [建立仿真工程](#建立仿真工程) + * [运行仿真](#运行仿真) + * [修改仿真参数](#修改仿真参数) + +# 硬件设计指南 + +对于 FPGA 的选型,只需要有足够数量的普通 IO 的 FPGA 就可以驱动 DDR1。DDR1 的 IO 电平标准往往是 SSTL-2 ,与 2.5V LVTTL 或 2.5V LVCMOS 兼容,因此相应的 FPGA 的 IO bank 的电源应该是 2.5V,且应在开发软件配置为 2.5V LVTTL 或 2.5V LVCMOS 。 + +下表展示了 DDR1 颗粒 的引脚,以及其与 FPGA 连接时应该注意的点。 + +| 引脚名称 | 方向 | 位宽 | 说明 | 连接方法 | 注意事项 | +| :---: | :---: | :---: | :--- | :--- | :--- | +| ddr_ck_p | FPGA output | 1 | 差分时钟正,≥75MHz | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_ck_n 差分布线 | +| ddr_ck_n | FPGA output | 1 | 差分时钟负,≥75MHz | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_ck_p 差分布线 | +| ddr_cke、ddr_cs_n | FPGA output | 1 | 低速 | 应与 FPGA 的 2.5V LVTTL管脚连接 | | +| ddr_ras_n、ddr_cas_n、ddr_we_n | FPGA output | 1 | 与 ddr_ck_p 下降沿同步 | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_ck_p 大致等长(长度别太离谱即可) | +| ddr_ba | FPGA output | 2 | 与 ddr_ck_p 下降沿同步 | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_ck_p 大致等长(别太离谱即可) | +| ddr_a | FPGA output | 取决于芯片型号 | 与 ddr_ck_p 下降沿同步 | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_ck_p 大致等长(别太离谱即可) | +| ddr_dqs | inout | 取决于芯片型号 | | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短 | +| ddr_dm、ddr_dq | inout | 取决于芯片型号 | 与 ddr_dqs 双沿同步 | 应与 FPGA 的 2.5V LVTTL管脚连接 | 布线尽量短,与 ddr_dqs 大致等长(别太离谱即可) | + + +# 硬件设计示例 + +我用 Altera Cyclone IV 的一个非常低端和廉价的 FPGA (型号:EP4CE6E22C8N) 和 MICRON 的 64MB DDR1 (型号 MT46V64M8TG-6T)画了一个小板子,本库的所有例子可以直接在该板子上直接运行。 + +| ![board-image](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/images/board.jpg) | +| :---: | +| 图:FPGA + DDR1 测试板 | + +原理图见 [hardware/sch.pdf](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/hardware/sch.pdf),PCB制造文件见 [hardware/gerber.zip](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/hardware/gerber.zip) ,在布局布线时,使用双层板即可,不需像 DDR2 以上的设计那样刻意注意等长和阻抗匹配,因为该电路工作频率为双边沿 75MHz,不是特别高,只需注意让FPGA与DDR距离尽量近,布线尽量短即可。比如我在布局时,把DDR1芯片放在了FPGA芯片正对的背面,从而保证布线都较短。 + +该板子的设计在立创EDA中开放,见[这里](https://oshwhub.com/wangxuan/fpga-ddr-ce-shi-ban)。 + +# DDR1 控制器 + +DDR1 控制器代码见 [RTL/ddr_sdram_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/RTL/ddr_sdram_ctrl.sv) ,是一个用 SystemVerilog 编写的模块,它能自动对 DDR1 进行初始化,并定时进行刷新(Refresh)。该模块有一个简化但完备的 AXI4 从接口,通过它可以完成对 DDR1 的读写。本节详细解释该模块的使用方法。 + +## 模块参数 + +模块参数和默认值在 Verilog 中定义如下: + +```Verilog +module ddr_sdram_ctrl #( + parameter READ_BUFFER = 1, + parameter BA_BITS = 2, + parameter ROW_BITS = 13, + parameter COL_BITS = 11, + parameter DQ_LEVEL = 1, + parameter [9:0] tREFC = 10'd256, + parameter [7:0] tW2I = 8'd7, + parameter [7:0] tR2I = 8'd7 +) +``` + +对这些参数说明如下: + +| 参数名 | 类型 | 取值范围 | 默认值 | 说明 | +| :--: | :--: | :--: | :--: | :-- | +| READ_BUFFER | 配置参数 | 0 或 1 | 1 | 若设为0,DDR1控制器内将没有读数据缓冲,读出的数据将不会等待AXI4主机是否能接受,即rvalid信号不会等待rready信号,直接以最高速率灌出去,此时AXI4是不完备的,但可以降低读出的时延。若设为1,则DDR1控制器内会有一个足够大的读数据缓冲,rvalid信号会与rready信号握手,确认AXI4主机准备好后再读出数据。 | +| BA_BITS | 位宽参数 | 1~4 | 2 | 规定了 DDR BANK ADDRESS (ddr_ba) 的宽度,常规的 DDR1 的 BANK ADDRESS 都是 2bit,因此该参数通常固定为默认值不用改 | +| ROW_BITS | 位宽参数 | 1~15 | 13 | 规定了 DDR ROW ADDRESS 的宽度,同时也决定了 DDR1 芯片的地址线引脚(ddr_a)的宽度。该参数取决于DDR1芯片的选型。例如 MT46V64M8 每个 BANK 有 8192 个 COL,考虑到2^11=8192,该参数应为13。同理,对于 MT46V128M8,该参数应为14 | +| COL_BITS | 位宽参数 | 1~14 | 11 | 规定了 DDR COL ADDRESS 的宽度。该参数取决于DDR1芯片的选型。例如 MT46V64M8 每个 ROW 有 2048 个 COL,考虑到2^11=2048,因此该参数应该为11。同理,对于MT46V32M16,该参数应为10。 | +| DQ_LEVEL | 位宽参数 | 0~7 | 1 | 规定了 DDR1 芯片的数据位宽,对于位宽x4的芯片(例如MT46V64M4),该参数应取0;对于位宽x8的芯片(例如MT46V64M8),该参数应取1;对于位宽x16的芯片(例如MT46V64M16),该参数应取2;对于位宽x32的情况(例如两片MT46V64M16扩展位宽),该参数应取3;以此类推。 +| tREFC | 时序参数 | 10'd1 ~ 10'd1023 | 10'd256 | 该控制器会周期性地刷新 DDR1,该参数规定了刷新的间隔。详见下文[时序参数的确定](#时序参数的确定) | +| tW2I | 时序参数 | 8'd1~8'd255 | 8'd7 | 该参数规定了一个写动作的最后一个写命令到下一个动作的激活命令(ACT)的间隔。详见下文[时序参数的确定](#时序参数的确定) | +| tR2I | 时序参数 | 8'd1~8'd255 | 8'd7 | 该参数规定了一个读动作的最后一个读命令到下一个动作的激活命令(ACT)的间隔。详见下文[时序参数的确定](#时序参数的确定) | + +## 模块接口 - 驱动时钟和复位 + +该模块需要一个时钟和一个复位进行驱动,如下: + +```Verilog + input wire rstn, + input wire clk, +``` + +rstn 是低电平复位信号,正常工作时应该置高。clk 是驱动时钟,频率是用户时钟的 4 倍。 + +模块开始工作前,rstn信号应该置低,让模块复位,当 clk 的频率稳定后,可以把 rstn 置高,让模块处于工作状态。 + +如果 clk 一开始就是稳定的(例如直接来自晶振输入),那么 rstn 可以直接置为 1'b1 。 + +如果 clk 经过一段时间后才能稳定(例如来自 FPGA 的 PLL 或 MMCM),那么 rstn 应该接 PLL/MMCM 的 locked 信号,保证 PLL 锁相成功(稳定)后,模块再开始工作。 + +## 时钟频率的选取 + +模块内使用寄存器分频 4 倍产生 DDR1 驱动时钟(ddr_ck_p/ddr_ck_n)和 AXI4 总线用户时钟(aclk)。本节讲述如何决定驱动时钟 clk 的频率。 + +首先,时钟频率受限于 DDR1 芯片。考虑到所有的 DDR1 的接口频率至少为 75MHz ,则 clk 的下限是 75\*4=300MHz。而 clk 的上限就取决于 DDR1 的芯片型号,例如对于 MT46V64M8P-5B ,查芯片手册可知,-5B 后缀的 DDR1 在 CL=1 时最高时钟频率是 133MHz,则 clk 的上限是 133\*4=532MHz 。 + +另外,时钟频率的上限还受限于 FPGA 的速度,太高的时钟频率容易导致时序不收敛。该控制器充分考虑时序安全设计,大多数寄存器工作在频率较低用户时钟域;个别寄存器工作在用户时钟的 2 倍频率的时钟下,且输入端口的组合逻辑非常短;还有一个寄存器工作在高频的 clk 下,但输入端口直接来自于上一级的寄存器输出(没有组合逻辑)。因此,即使在速度级别很低的 EP4CE6E22C8N 上,在 300MHz 的驱动时钟下也能保证模块正确运行。在速度等级更高的 FPGA (例如 EP4CE22F17C6N)上,驱动时钟的频率可以更高(例如400MHz)。 + +> 注意:本控制器固定 CAS Latency (CL) = 2。 + +## 模块接口 - DDR1 接口 + +以下是该模块的 DDR1 接口。这些接口应该直接从 FPGA 引出,连接到 DDR1 芯片上。 + +```Verilog + output wire ddr_ck_p, ddr_ck_n, + output wire ddr_cke, + output reg ddr_cs_n, + output reg ddr_ras_n, + output reg ddr_cas_n, + output reg ddr_we_n, + output reg [ BA_BITS-1:0] ddr_ba, + output reg [ ROW_BITS-1:0] ddr_a, + output wire [((1< 注:当模块参数 READ_BUFFER = 0 时,模块将节省一个 BRAM 资源,同时还能降低地址通道握手和数据传送之间的延迟。但 DDR1 控制器会忽略 rready=0 的情况,不等待 AXI4 主机是否准备好接受数据。这样将会破坏 AXI4 协议的完备性,但在一些简单的场合或许有用。 + + +## 位宽参数的确定 + +本节讲述如何确定 **BA_BITS**、**ROW_BITS**、**COL_BITS** 和 **DQ_LEVEL** 这 4 个参数。 + +从[模块接口 - DDR1 接口](#模块接口 - DDR1 接口)一节可以看出 DDR1 接口的一些信号的位宽是和参数有关的,用户需要根据 DDR1 的芯片选型来确定模块参数。 + +以 [MICRON 公司的 DDR-SDRAM](https://www.micron.com/products/dram/ddr-sdram) 系列芯片为例,不同芯片有不同的 ROW ADDRESS BITS (行地址宽度)、COL ADDRESS BITS(列地址宽度)、DATA BITS(数据宽度),决定了他们的位宽参数也不同,如下表(注:这些参数都能从芯片datasheet中查到)。 + +| 芯片名称 | ddr_dq 位宽 | ddr_dm 和 ddr_dqs 位宽 | DQ_LEVEL 取值 | BA_BITS | ROW_BITS | COL_BITS | 每行字节数 | 容量 | awaddr/araddr 位宽 | +| :--: | :--: | :--: | :--: | :--: | :--: | :--: | :--: | :-- | :--: | +| MT46V64M4 | 4 | 1 | 0 | 2 | 13 | 11 | 1024 | 4\*2^(2+13+11)=256Mb=32MB | 25 | +| MT46V128M4 | 4 | 1 | 0 | 2 | 13 | 12 | 2048 | 4\*2^(2+13+12)=512Mb=64MB | 26 | +| MT46V256M4 | 4 | 1 | 0 | 2 | 14 | 12 | 4096 | 4\*2^(2+14+12)=1Gb=128MB | 27 | +| MT46V32M8 | 8 | 1 | 1 | 2 | 13 | 10 | 1024 | 8\*2^(2+13+10)=256Mb=32MB | 25 | +| MT46V64M8 | 8 | 1 | 1 | 2 | 13 | 11 | 2048 | 8\*2^(2+13+11)=512Mb=64MB | 26 | +| MT46V128M8 | 8 | 1 | 1 | 2 | 14 | 11 | 4096 | 8\*2^(2+14+11)=1Gb=128MB | 27 | +| MT46V16M16 | 16 | 2 | 2 | 2 | 13 | 9 | 1024 | 16\*2^(2+13+9)=256Mb=32MB | 25 | +| MT46V32M16 | 16 | 2 | 2 | 2 | 13 | 10 | 2048 | 16\*2^(2+13+10)=512Mb=64MB | 26 | +| MT46V64M16 | 16 | 2 | 2 | 2 | 14 | 10 | 4096 | 16\*2^(2+14+10)=1Gb=128MB | 27 | + +## 时序参数的确定 + +本节讲述如何确定 **tREFC**、**tW2I** 和 **tR2I** 这 3 个时序参数。 + +我们知道,DDR1 需要周期性的刷新动作,**tREFC** 就规定了刷新的时钟周期间隔(以 aclk为准)。例如,若用户时钟为 75MHz,根据 MT46V64M8 的芯片手册,需要至多 7.8125us 刷新一次,考虑到 75MHz * 7.8125us = 585.9,则该参数可以设置为一个小于 585 的值,例如 10'd512。 + +**tW2I** 规定了一个写操作的最后一个写命令到下一个操作的激活命令(ACT)的时钟周期数(以 aclk 为准)的最小值。下图展示了一个 DDR1 接口上的写操作,ddr_cas_n 的第一个上升沿代表了一个写操作的最后一个写命令结束,ddr_ras_n 的第二个下降沿代表了下一个操作(可能是读、写、刷新)的开始,它们之间有 5 个时钟周期,**tW2I** 就是用来规定该周期数的下限的。**tW2I** 的默认值 8'd7 是一个兼容绝大多数 DDR1 的保守值,对于不同的 DDR1 芯片,有不同的缩小的余地(详见 DDR1 芯片 datasheet)。 + + __ __ __ __ __ __ __ __ __ __ __ __ + ddr_ck_p __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__ + _____ _______________________________________________ ________ + ddr_ras_n \_____/ \_____/ + _________________ ____________________________________________ + ddr_cas_n \___________/ + _________________ ____________________________________________ + ddr_we_n \___________/ + _____ + ddr_a[10] XXXXXXXXXXXXXXXXXX_____/ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + _______________________ + ddr_ba XXXXXX__________BA___________XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + _______________________ + ddr_a XXXXXX__RA_XXXXXXX_CA0_X_CA1_XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + +**tR2I** 规定了一个读操作的最后一个读命令到下一个操作的激活命令(ACT)的时钟周期数(以 aclk 为准)的最小值。下图展示了一个 DDR1 接口上的读操作,ddr_cas_n 的第一个上升沿代表了一个读操作的最后一个读命令结束,ddr_ras_n 的第二个下降沿代表了下一个操作(可能是读、写、刷新)的开始,它们之间有 5 个时钟周期,**tR2I** 就是用来规定该周期数的下限的。**tR2I** 的默认值 8'd7 是一个兼容绝大多数 DDR1 的保守值,对于不同的 DDR1 芯片,有不同的缩小的余地(详见 DDR1 芯片 datasheet)。 + + __ __ __ __ __ __ __ __ __ __ __ __ + ddr_ck_p __/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__/ \__ + _____ _______________________________________________ ________ + ddr_ras_n \_____/ \_____/ + _________________ ____________________________________________ + ddr_cas_n \___________/ + __________________________________________________________________________ + ddr_we_n + _____ + ddr_a[10] XXXXXXXXXXXXXXXXXX_____/ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + _______________________ + ddr_ba XXXXXX__________BA___________XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + _______________________ + ddr_a XXXXXX__RA_XXXXXXX_CA0_X_CA1_XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX + +# 示例程序 + +## 示例程序 - 自测 + +我基于我画的 [FPGA+DDR1测试板](#硬件设计示例) 做了一个 DDR1 读写自测程序,工程目录是 [example-selftest](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-selftest),请用 Quartus II 13.1 打开它。 + +该工程包含以下文件: + +| 文件名称 | 用途 | +| :---- | :--- | +| [top.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-selftest/RTL/top.sv) | 顶层 | +| [axi_self_test_master.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-selftest/RTL/axi_self_test_master.sv) | 是 AXI4 主机,通过 AXI4 先把有规律的数据写入 DDR1,然后读回,比较读回的数据是否符合规律,并对不匹配的情况进行计数。 | +| [ddr_sdram_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/RTL/ddr_sdram_ctrl.sv) | DDR1 控制器 | +| [pll.v](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-selftest/IP/pll.v) | 使用板上 50MHz 晶振产生 300MHz 时钟,输出给 DDR1 控制器 | + +**写入**:该工程开始运行后,会先通过 AXI4 把整个 DDR1 都写一遍,直接把地址字写入相应的地址。例如,如果 AXI4 的数据宽度是 16bit,那么地址 0x000002 处写 0x0001。地址 0x123456 处写 0x3456。 + +**读取&错误校验**:写完整个 DDR1 后,该工程会一轮一轮地反复读取整个 DDR1,若读出的数据不符合上述规律,就认为出现错误,在错误信号(error)上产生一个高电平脉冲,并把错误计数信号(error_cnt)+1。如果 DDR1 配置正确,是不该出现 error 信号的。你可以测量 error_cnt 对应的引脚,若为0(全低电平),说明不存在错误。 + +**SignalTap抓波形**:该工程包含一个 SignalTap 文件([stp1.stp]()),在程序运行时,可以用它查看 DDR1 接口上的波形。它以 error=1 为触发信号,因此如果读写自测没有出错,它就不会被触发。因为该工程随时都在读取 DDR1,要想看 DDR1 接口上的波形,直接按“停止”按钮即可。 + +**修改 AXI4 突发长度**:在 [top.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-selftest/RTL/top.sv) 的第 82,83 行可以修改 WBURST_LEN 和 RBURST_LEN,从而修改自测时的写/读突发长度,该自测程序只支持 2^n-1 这种突发长度,即 WBURST_LEN 和 RBURST_LEN 必须取形如 0,1,3,7,15,31,…… 的值(注意,这只是我编写的自测程序的限制,DDR1 控制器是支持 0~255 之间的任意突发长度的。 + +> WBURST_LEN 和 RBURST_LEN 可以设置的不一样。 + +## 示例程序 - UART读写 + +我基于我画的 [FPGA+DDR1测试板](#硬件设计示例) 做了一个 UART 读写程序,使用该程序,你可以通过 UART 命令,以不同的突发长度来读写 DDR1。工程目录是 [example-uart-read-write](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write),请用 Quartus II 13.1 打开它。 + +该工程包含以下文件: + +| 文件名称 | 用途 | +| :---- | :--- | +| [top.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write/RTL/top.sv) | 顶层 | +| [uart2axi4.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write/RTL/uart2axi4.sv) | 是 AXI4 主机,能把 UART RX 收到的命令转换成 AXI4 读写操作,并把读操作读出的数据通过 UART TX 发送出去 | +| [uart_rx.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write/RTL/uart_rx.sv) | UART RX 接收器,被 uart2axi4.sv 调用 | +| [axis2uarttx.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write/RTL/axis2uarttx.sv) | UART TX 发送器,被 uart2axi4.sv 调用 | +| [ddr_sdram_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/RTL/ddr_sdram_ctrl.sv) | DDR1 控制器 | +| [pll.v](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/example-uart-read-write/IP/pll.v) | 使用板上 50MHz 晶振产生 300MHz 时钟,输出给 DDR1 控制器 | + +[FPGA+DDR1测试板](#硬件设计示例)上有一个 CH340E 芯片(USB 转 UART),因此插上 USB 线后就可以在电脑上看见 UART 对应的 COM 口(需要先在[这里](http://www.wch.cn/product/CH340.html)下载安装 CH341 的驱动)。 + +工程上传 FPGA 后,双击打开我编写的一个串口小工具 [UartSession.exe](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/UartSession.exe) ,根据提示打开板子对应的 COM 口,然后打如下的命令+回车,可以把 0x0123 0x4567 0x89ab 0xcdef 这 4 个数据写入起始地址0x12345。(AXI4总线上会产生一个突发长度为 4 的写操作)。 + + w12345 0123 4567 89ab cdef + +然后用以下命令+回车,可以以 0x12345 为起始地址,以 7 为突发长度,读取 8 个数据。 + + r12345 7 + +效果如下图,前4个数据 (0123 4567 89ab cdef) 就是我们已经写入 DDR1 的,后4个数据我们没写过,是 DDR1 初始化后自带的随机数据。 + +| ![UART读写](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/images/UartSession.png) | +| :--: | +| 图:使用 UartSession.exe 测试 DDR1 读写 | + +写命令里有多少数据,写突发长度就是多少,例如以下写命令的突发长度是 9,将 10 个数据写入起始地址 0x00000 + + w0 1234 2345 3456 4567 5678 6789 789a 89ab 9abc abcd + +读命令则直接指定突发长度,例如以下命令的突发长度为 30 (0x1e),从起始地址 0x00000 将 31 个数据读出 + + r0 1e + +# Verilog 仿真 + +## 建立仿真工程 + +仿真所需要的文件在目录 [sim-selftest](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest) 里,你可以用以下 Verilog 文件建立仿真工程: + +| 文件路径 | 用途 | +| :---- | :--- | +| [sim-selftest/SRC/tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) | 仿真顶层 | +| [sim-selftest/SRC/axi_self_test_master.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/axi_self_test_master.sv) | 是 AXI4 主机,通过 AXI4 先把有规律的数据写入 DDR1,然后读回,比较读回的数据是否符合规律,并对不匹配的情况进行计数。 | +| [RTL/ddr_sdram_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/RTL/ddr_sdram_ctrl.sv) | DDR1 控制器 | +| [sim-selftest/DDR_MODEL/ddr.v](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr.v) | [MICRON 公司提供的 DDR1 仿真模型](https://www.micron.com/products/dram/ddr-sdram/part-catalog/mt46v64m8p-5b) | +| [sim-selftest/DDR_MODEL/ddr_parameters.vh](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr_parameters.vh) | DDR1 仿真模型的参数配置文件 | + +该仿真工程的行为类似[自测程序](#示例程序 - 自测),[axi_self_test_master.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/axi_self_test_master.sv) 作为 AXI4 主机,将有规律的数据写入 DDR1 中,只不过不是全部写入,而是只写入 DDR1 的前 16KB (因为仿真模型的存储空间有限),然后一轮一轮地反复读出数据,比较是否有不匹配的数据,若有,则在 error 信号上产生一个时钟周期的高电平。 + +## 运行仿真 + +建立工程后,直接运行仿真,得到如下波形。前 294us,AXI4 主机在进行前 16KB 的写入;294us 之后,AXI4 主机在不断的读出数据。error 信号一直为低电平说明读出的数据无误。 + +| ![仿真波形](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/images/sim.png) | +| :--: | +| 图:仿真波形 | + +你可以展开查看 AXI4 总线和 DDR1 接口的波形细节。这里不做赘述。 + +## 修改仿真参数 + +以上仿真默认配置的参数是使用 MT46V64M8 ,即 ROW_BITS=13,COL_BITS=11,DQ_BITS=8。如果想对其它型号的 DDR1 芯片进行仿真,需要修改的参数如下: + +* 仿真顶层中的 **BA_BITS**:见 [sim-selftest/SRC/tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) 第 8 行。 +* DDR1 模型中的 **BA_BITS**:见 [sim-selftest/DDR_MODEL/ddr_parameters.vh](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr_parameters.vh) 第 35 行。 +* 仿真顶层中的 **ROW_BITS**:见 [sim-selftest/SRC/tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) 第 9 行。 +* DDR1 模型中的 **ROW_BITS**:见 [sim-selftest/DDR_MODEL/ddr_parameters.vh](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr_parameters.vh) 第 36 行。 +* 仿真顶层中的 **COL_BITS**:见 [sim-selftest/SRC/tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) 第 10 行。 +* DDR1 模型中的 **COL_BITS**:见 [sim-selftest/DDR_MODEL/ddr_parameters.vh](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr_parameters.vh) 第 37 行。 +* 仿真顶层中的 **DQ_LEVEL**:见 [sim-selftest/SRC/tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) 第 11 行。 +* DDR1 模型中的 **DQ_BITS**:见 [sim-selftest/DDR_MODEL/ddr_parameters.vh](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/DDR_MODEL/ddr_parameters.vh) 第 38 行。 + +对于 MICRON 公司的 DDR1 系列,这些参数应该这样修改: + +| 芯片名称 | BA_BITS | ROW_BITS | COL_BITS | DQ_LEVEL | DQ_BITS +| :--: | :--: | :--: | :--: | :--: | :--: | :--: | :--: | :--: | :--: | +| MT46V64M4 | 2 | 13 | 11 | 0 | 4 | +| MT46V128M4 | 2 | 13 | 12 | 0 | 4 | +| MT46V256M4 | 2 | 14 | 12 | 0 | 4 | +| MT46V32M8 | 2 | 13 | 10 | 1 | 8 | +| MT46V64M8 | 2 | 13 | 11 | 1 | 8 | +| MT46V128M8 | 2 | 14 | 11 | 1 | 8 | +| MT46V16M16 | 2 | 13 | 9 | 2 | 16 | +| MT46V32M16 | 2 | 13 | 10 | 2 | 16 | +| MT46V64M16 | 2 | 14 | 10 | 2 | 16 | + +另外,你可以修改 [tb_ddr_ctrl.sv](https://github.com/WangXuan95/FPGA-DDR-SDRAM/blob/main/sim-selftest/SRC/tb_ddr_ctrl.sv) 的第 18 和 19 行来修改仿真时的突发读写的长度。 + +# 参考资料 + +* MICRON 公司提供的 DDR1 仿真模型: https://www.micron.com/products/dram/ddr-sdram/part-catalog/mt46v64m8p-5b +* MT46V64M8 芯片手册: https://media-www.micron.com/-/media/client/global/documents/products/data-sheet/dram/ddr1/512mb_ddr.pdf?rev=4e1e995d6d2240e293286770f193d57d diff --git a/RTL/ddr_sdram_ctrl.sv b/RTL/ddr_sdram_ctrl.sv new file mode 100644 index 0000000..48a7eef --- /dev/null +++ b/RTL/ddr_sdram_ctrl.sv @@ -0,0 +1,593 @@ +`timescale 1 ns/1 ns + +module ddr_sdram_ctrl #( + parameter READ_BUFFER = 1, + parameter BA_BITS = 2, + parameter ROW_BITS = 13, + parameter COL_BITS = 11, + parameter DQ_LEVEL = 1, // DDR DQ_BITS = 4<10) begin + assign ddr_a_col = {col_addr[COL_BITS-2:9], burst_last, col_addr[8:0], 1'b0}; +end else begin + assign ddr_a_col = {burst_last, col_addr[8:0], 1'b0}; +end endgenerate + +wire read_accessible, read_respdone; +reg output_enable, output_enable_d1, output_enable_d2; + +reg o_v_a; +reg [(4<0) + {ddr_ba, ddr_a, col_addr, trash_lsb_addr} <= awaddr; + else + {ddr_ba, ddr_a, col_addr} <= awaddr; + burst_len <= awlen; + stat <= WPRE; + end else if(arvalid & read_accessible) begin + ddr_ras_n <= 1'b0; + if(DQ_LEVEL>0) + {ddr_ba, ddr_a, col_addr, trash_lsb_addr} <= araddr; + else + {ddr_ba, ddr_a, col_addr} <= araddr; + burst_len <= arlen; + stat <= RPRE; + end + end + CLEARDLL: begin + ddr_ras_n <= cnt!=8'd0; + ddr_cas_n <= cnt!=8'd0; + ddr_we_n <= cnt!=8'd0; + ddr_a <= cnt!=8'd0 ? DDR_A_DEFAULT : DDR_A_MR_CLEAR_DLL; + cnt <= cnt + 8'd1; + if(cnt==8'd255) begin + init_done <= 1'b1; + stat <= IDLE; + end + end + REFRESH: begin + cnt <= cnt + 8'd1; + if(cnt<8'd1) begin + ddr_ras_n <= 1'b0; + ddr_we_n <= 1'b0; + end else if(cnt<8'd3) begin + ddr_ras_n <= 1'b1; + ddr_we_n <= 1'b1; + end else if(cnt<8'd4) begin + ddr_ras_n <= 1'b0; + ddr_cas_n <= 1'b0; + end else if(cnt<8'd10) begin + ddr_ras_n <= 1'b1; + ddr_cas_n <= 1'b1; + end else if(cnt<8'd11) begin + ddr_ras_n <= 1'b0; + ddr_cas_n <= 1'b0; + end else if(cnt<8'd17) begin + ddr_ras_n <= 1'b1; + ddr_cas_n <= 1'b1; + end else begin + stat <= IDLE; + end + end + WPRE: begin + ddr_ras_n <= 1'b1; + cnt <= 8'd0; + stat <= WRITE; + end + WRITE: begin + ddr_a <= ddr_a_col; + if(wvalid) begin + ddr_cas_n <= 1'b0; + ddr_we_n <= 1'b0; + col_addr <= col_addr + {{(COL_BITS-2){1'b0}}, 1'b1}; + if(burst_last | wlast) begin + cnt <= '0; + stat <= WRESP; + end else begin + cnt <= cnt + 8'd1; + end + end else begin + ddr_cas_n <= 1'b1; + ddr_we_n <= 1'b1; + end + end + WRESP: begin + ddr_cas_n <= 1'b1; + ddr_we_n <= 1'b1; + cnt <= cnt + 8'd1; + if(bready) + stat <= WWAIT; + end + WWAIT: begin + cnt <= cnt + 8'd1; + if(cnt>=tW2I) + stat <= IDLE; + end + RPRE: begin + ddr_ras_n <= 1'b1; + cnt <= 8'd0; + stat <= READ; + end + READ: begin + ddr_cas_n <= 1'b0; + ddr_a <= ddr_a_col; + col_addr <= col_addr + {{(COL_BITS-2){1'b0}}, 1'b1}; + if(burst_last) begin + cnt <= '0; + stat <= RRESP; + end else begin + cnt <= cnt + 8'd1; + end + end + RRESP: begin + ddr_cas_n <= 1'b1; + cnt <= cnt + 8'd1; + if(read_respdone) + stat <= RWAIT; + end + RWAIT: begin + cnt <= cnt + 8'd1; + if(cnt>=tR2I) + stat <= IDLE; + end + default: stat <= IDLE; + endcase + end + +// ------------------------------------------------------------------------------------- +// output enable generate +// ------------------------------------------------------------------------------------- +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + output_enable <= 1'b0; + output_enable_d1 <= 1'b0; + output_enable_d2 <= 1'b0; + end else begin + output_enable <= stat==WRITE || output_enable_d1 || output_enable_d2; + output_enable_d1 <= stat==WRITE; + output_enable_d2 <= output_enable_d1; + end + +// ------------------------------------------------------------------------------------- +// output data latches --- stage A +// ------------------------------------------------------------------------------------- +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + o_v_a <= 1'b0; + {o_dh_a, o_dl_a} <= '0; + end else begin + o_v_a <= (stat==WRITE && wvalid); + {o_dh_a, o_dl_a} <= wdata; + end + +// ------------------------------------------------------------------------------------- +// output data latches --- stage B +// ------------------------------------------------------------------------------------- +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + o_v_b <= 1'b0; + o_dh_b <= '0; + end else begin + o_v_b <= o_v_a; + o_dh_b <= o_dh_a; + end + +// ------------------------------------------------------------------------------------- +// dq and dqs generate for output (write) +// ------------------------------------------------------------------------------------- +always @ (posedge clk2) begin + if(~aclk) begin + o_dqs_c <= 1'b0; + o_d_c <= o_v_a ? o_dl_a : '0; + end else begin + o_dqs_c <= o_v_b; + o_d_c <= o_v_b ? o_dh_b : '0; + end +end + +// ------------------------------------------------------------------------------------- +// dq delay for output (write) +// ------------------------------------------------------------------------------------- +always @ (posedge clk) + o_d_d <= o_d_c; + +// ------------------------------------------------------------------------------------- +// dq sampling for input (read) +// ------------------------------------------------------------------------------------- +always @ (posedge clk2) begin + i_dqs_c <= ddr_dqs; + i_d_c <= ddr_dq; +end + +always @ (posedge clk2) + if(i_dqs_c) + i_d_d <= {ddr_dq, i_d_c}; + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + {i_v_a, i_v_b, i_v_c, i_v_d} <= '0; + {i_l_a, i_l_b, i_l_c, i_l_d} <= '0; + end else begin + i_v_a <= stat==READ ? 1'b1 : 1'b0; + i_l_a <= burst_last; + i_v_b <= i_v_a; + i_l_b <= i_l_a & i_v_a; + i_v_c <= i_v_b; + i_l_c <= i_l_b; + i_v_d <= i_v_c; + i_l_d <= i_l_c; + end + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + i_v_e <= 1'b0; + i_l_e <= 1'b0; + i_d_e <= '0; + end else begin + i_v_e <= i_v_d; + i_l_e <= i_l_d; + i_d_e <= i_d_d; + end + +// ------------------------------------------------------------------------------------- +// data buffer for read +// ------------------------------------------------------------------------------------- +generate if(READ_BUFFER) begin + SyncFIFO #( + .AWIDTH ( 10 ), + .DWIDTH ( 1 + (8<uOA_!xVUrADHQ9~38zfp4Z>^#z zS}R(sw)Ob6w$}T;T5T=0YOD1Y@2b^$Ydz}p`@hYEWtnU?+0EvfN#^a$yf^QC@B7~I zUGL4JBR8pZrBsHTrm583^2;$>hp~eV5}iBp-duHi&nI`k+qLk?-OJW`gYMdZzbfFV zaaVYJK7YtvZny(=KDXEBp0}jbUE{Ab3j6fQ8QqZe()mg)bfv3jrd+bpD(!WZ=IZ6@ zrBr`;(%+>Y=N8G2Th7^X61e3jEey9@sZ0Wn4U%4-bEK=)XDQWB|8M_oxD6*!_m5X< z3D>hF%iM5T4N>8r)h^XruFfn}YS<1D&D^+^%8p2qE%ygS+!uz7^&z<*DB$V3P}c^y ztz>ScRu=|>feMlU+ibb$C%*&bH{zHrF@*u6+Aj%#RSC>GuhH@wam-d~Zp5v={6y-{ z0c>fihbkDQ)Jj2py4(pkjcC=0;s}NoxzuiwPD;*83rP;HoJ@b7QaQb{3Z#lTuchz# zni?yQskh2feFv#@N$VO~l%Xa_a{13YMIL0h)uS%uA0V&j+Yx@Lbog?Re;4a=uz!$s zImkbF`{fYp(*2$^ZvU>0w_ixset|EX)4(4xG;jd8BAS%JeE@A?L+xTcO%U8ZSf z51LS*fyvg0fAymuOmjeHphgnqW%~D$WCaBhy!xCx?>T5SHrJ4? z)^%1|pMhyQO<{1H6tY3$GscccE0CU_Gj?w2!E;@_A_skJ-Q>ce!bwGwCQRc=4^=JU zKxu2EPLUxX;)oD^^mpZrM?n1ST;KDtMxU+|BRzqb*8>sIt9x^85ay`Gf)D;q& zrmm6DrLI%EtIcYp8l%GrI-I7%IXW!S;h{P_LWdq5dL=Set5O4zK% zt7|13D)4Sio2+h9ucu9w>vz+}OZd4Cv(k&@dT4rygazrNCEQPk^U_IECgCHJ!xFhZ zGkv+_a8)`vT%UfFzFsZY_oP?poR5?6@$}=>6Y8n-$?8S*N_w4Kzn^}hgdeA$rruXQ zGJxT#^yB2Myo`|&j>rJEtJ1k1rLTdur-r#u^-@<#iyENbk#MN`O2Sb(+*^l}^z;4I z_wu}0KPlDWQEH&8P#vcZkT9qYk?_0z(N&PIk9-Mf!PeoD965G; z7H$#pc*Y`sWnHy#fLiVegi4KI(ChaV8tV|v&^V4yz7k0`Rfc{XilIis3M=LMINtD z6;_1&0TncSl}14Nm4{T}@(P#L`9j_rqi}^008SYMRGGvZp#{Pi87KGy$IS`J*ic>< zG8$ho)>I3Ol3L9P2928X>iRNosM^?`(0V-22v!8VwItm+ve@riSXoyQ+Bu@wUt1sWR;{&(DhqfjjT%p6Wh@wr>#9R_fe7HE5L4m{83BK-Abg#- z!U(Ejf6WSS&|4l^-vjHsl{>@JfYO~K7I>?Togy2Go#*vb`TW6di;$=p)XT81(ZU?D>{JbYo<=$#mS`V6N{|9+|l~R4>sjOTDKvw5a;rT5L`$DhJPzM?z zdedTQ zful%L3yk%=5l%UMu@&PQZG6TbB_A@{LL2`hpt|7<~pz@80%@lsd`#@slGcxp?ZL2wHIZM z)qifa>t!FGPyNGrgHJ0iTo)^yS~b3G;^MbTW2B!(zMmca)G1JCdw#vmFs#p%x;6>n zD|(wi-UuW0)L5`enz6R;DoSaDL#C523lF&M`Hi<6a_8n-&)N6W7cW)Wcb&L$#jcZI zKQBGAf6q*jK!^43BW#Cjcy78|xiZ`?S1v(X4+-*0xXhM_+zcfVJ+jjJ_srEXN9geA z9&X8C2-p2RGNBF6h0n?zHcVd0?jf1y4imP%2gr~RpH|KWsP&kJ`Ka%OkqOzb*Zx4fsIk~yusRPPdy#5Kkv93W89KfWXE0+ z)2HyQE@oVjLHaii^X^A)a=RxM!Pky)snJD~D%Kdrl*(~aCYKxICQqI=Vcb+>&6;sj z*Gw$mcha;;l@s@^6pq-XvL*;aEPo>Qx-=)MFTx`52)MVhv_XdjJhhAczQzt+wl?5D zA*f-nMwo$XL`GazIqS?TVFXrcf>%3mM5hTZ=jEfPG|#a zn$_|v8WovO)CT#9IKn-Blg)E=s!})FJg2ii+{E)2Y@SotCj>hjddP?tC?rMrIV!Rp zkMIMx{CrZZRDq08)-hzEYX@~&(Hwz~L8##zgaAps8#hE;ws^h(68?N%q`Ne zTmB{rEE5#!n}akQOB&t-YrtVqfV=qMnX2)(RPyl)KUk+K1cL3gX{tU-@DJX0d@mY% z(YTwc-Hv4hEty@WT&3nE(6V{}MXMgVQ-W7Y3l&S6_W) ze)idC=BJ;2+UoO*FTOCp`|i8WRrziVt<~rM{qKL9&ph*t`PgHRnScD_A6tDs@x&A6 zwr$&VTk6JW?KD+~{$GFnwfU2u{KQoG zGoZ}(@4x@P>G62X-o1O{j-K#2apFYtH^2E!cSdWcsr&cee{Vkf?6YQZak1%gxe}&5 z?Wb?wzUGA&Uf5}H(47H=S7Y9vJ$rUiXb=5+_3CAwfByO18LgeB?$H0~r=LzL{d011 z%pd>w$DIZT-5F4a{@t1Srk2&6{@;DufYblGHtj9_4s`zZ+i%TpzWK)d?|=W>cz*fi zm*!vo@)z@f0}e#Vc%dBz!M znAO$Q=I*=i?$E#EkS!rd=<~?+|M|~<%qO3G()`u0ex-GCWo2dNtXZ?n$&)9W=xD5S z%$PAr)BPa-IsHE&@+JJTMf-d2z1KYEm}AVn_S(xFG-#04%OIQg?AddNGb=00OiN31 z`hUWh?-&_i%lX~!erFza)KPj|&&bF~8g1jz4?F$8{n`Qc*M}Z@Nca1^ygV~KJw2tg zcgFwrqdnvPKmPF#)93T)@jjVjdOZE!O5^nZ)VBe6KF0kmTeg@*MMWv^^A_!${-1i< zQzCu;(MKOO7cE-UuC#aP-#+wb-aqfW^UPtxhB@?a9}=`%sf_*jY%N~A*v!n#w530` zedgu-{CsntefBZOjT@&=uJ_nu4{fuJ9K#d2cIN-oH3ew@`RAW&d;6$SqilURkO2k` z9B59RI#v7m9Dn@rS|5a;Rv-}2vcmA;!)@D5YubTB|J2am((`TIy45T#E!F+oj$X)t zhaP&U_Q^sYh`-Ns&poHlzyJO3=FKLxFM~}9pANsy| z^X8eq{N*prk3RZH`}Riy;Mo5nvC+A%;rX|Tul3=FAFlPe(S4sepZOkp4>lmu(`F(M zhyIb+=sefZ5j*a$fBkE7!h{L7^FDnan-60=wqLu$p}!qgI{q{0kA3gtlTS8x-E~*n zu^*c*ez>gZiSGAS4ITPhnCM);jO8!B_@a5pA&1!N_ZzxiAAkIDlYO5uXh+x#=FFL6n@==P5zl>}K7GuM8#gvr z1t-3h7@#ks>tDBSojG{$;GO6O-^UuRJMX+RX5XiH*8jZm#vA65M;_Uv{1^|-tu&O4 zzX85R&e1j)V9rK{Kj@%?OvZT&_tr1|9jjKYGXM3jf5q(kKu23bcg%VX{DMY|7?IEk z&#`*-YV%Kj`cvCfzMJO?z3|z*@x~jq{+9k`(cSum1{Yj#ftC-Nn)fX<63-lf4>);V zb=6hchKL=pHRsQM_A~Q=2OiLC3av7n-{fHcdVTQ02PQh)U3S@JC)(2n=FgvRKJv&T zEiROHfSj1f$=Jl4;v8**0ciBX3oq!g-_F*?y2?MZSLT zz4zjpN1HDx@f5Vf4?q0SJmG{BZ25l1e%5i^b=O^q7uJPy0FR8L$k1=S^_F?Z9d~FQ z`LV|yt99wv(ilULpMU$?-{rGi{9Xr-`>>n~@h+cP1x{iF%j)9S}H_f?*4SMUPOP4nCui$gfJ@+(!^rIh{k3asnrc*NO0Pf)1|N7Uzn#-0g z)8n|E&a^qYTk*WhTu@R{Vm|obgU#Akl7Tbu!MsJ8c4I#@yfC zzfdRm?eg++y@mmQ)?~E-@w3Hlaw|9zsa~VbLPy1#{KBFfqVe}a@%dUwJHA! zJ;B9kr=4c@@85r?_6y$N`|rN{ZjGPEO?Y9$>x4{Me5A1b;U6cHy z>6pkpe$~(=9y@Dm$4qPP!lo15XMmmg^SI-V)3QNFr#(1e{I~1>79F4wdllfb%$~Gw zzWHWjyKZUyz#aU?fd?MgDt_OBDb_DGc;r~*i)0_vm%UjT+wtd#Zok~KZsY2!ua4>C zmu$Ea$*(#3TewCxK$ned!2U$Y1}&vO^XBh=|9i8kbum_1iTuJ-U?)ef)Kd6j;gP)1 zF)(*A9x^UQ!-?*KeLGqA37?b9@?*i4NL;-1XI`Vu_%_o9@L^_O`gr+5aDlGjq?1l+ zQf7+AXKU^mlS849$y~)40DOs@(%pLR{{GnPy+j!r>Uc?-LjLvwS$VndPN7+Z8y}DSl z#~dFG3u8Zi-Z<7HuHbL3?D48{-G> zN8>M<_vn(@NA1>IZ`JStV{1?HKu=XySEubyc08Yj6Lf*-B9l>WYvFC_dzW5%sn-3* zqj!&_5j2D^q_0t5`U!qYO^pN0$BY-ql#%(g#WlPNIxKYBtfNfyWd9EIA$D`V1wZ|t z{rVXj6D=>5@3Y<#sPFl_%mY9jg_Ypm-zP{QjJ5}54uqJ zZpL^!TO4x#Pk;JT6PtLd;d9Ds+cw460Z)fLmiZ4Ko@jmmT_`@#P5H<=G-_KKr2@aq zkI28b-+sGZ!w|_2BKsjX;=7RwSe!E2r#;~dgI`Xx-5dHdHaPTeAG)NXKUi|%&wu`N zt>=&I|FnloF1bX@45@&{DI>M*4BDgrsH&>cz9aB}kq5d$eEgbP8}HC7we(6RzR`&w zZy$a1(R%Jj){E8yu%;1zm{jbC2S19A4xJXTSts{Ax6|E5$z$OTZSLH;Ez3m|*pFOXz8{w}Pi++j3F&~iVV-e5T zxA!60x82Hve7fTK)KgFGfCo zun)2qS5s|~F_E?Nw41Jc&==UJwkf+-bX$ObXMekEuf0}}t1VfBNB{Z5AO2uYnlve{ zbso`W$8(S0HQzdp1s7u!?Spj>*lX}0)u`dm4Fwy76!m%CB zumR(jfnPCWNIdjxNg8aJtP^G4r>s~9u)`ZHU%uSLUKm{t^9X)w?48lnoE9tp&Jq_7 z{o#kO_t)0eYTqW-JjdhnVTS``L-YXjyLjZVXu33Y&zw#B#77ZbQ*$&U9$AI;N4#g3 zFLfrqE6)MV(I46~UgP(~`U>XoL=M^wKKgtM3A>>k-dppGy)}Jf|n(8uznVNGDXc#VZC`W<5cav1Bm?fCDO(w}jJwc^;H zWAS~_Z~+TG3&?|ZzWl(3&nv$CUG->+{_sTXSqDy;CzG|t8k{o*Y!e?jWJlu6F#f7Q^tJyeWGI&?=uG=@7s+*l#kwn zvJz=$EyYhN(o-LJAY>fId#-tw3i@01qb%A0d!?{PGUE|*68mbgmXnQk%mJ*GfM4ipht&^LO?Nvy@@)iUa@L$*cG+dxw#Z%q z7him_9_z6o!#4nHG7or1)@`DfisUh^Yt}6Q4|9ccv>gVlwn#s->~_q%T=R_nP8!Z+ z9?SvOUKnbj3L!`55h7IYNKv zid~a$aa!YkoecU!yL|1Qp?82cfah@Lfz-7Ibdczq?QFu>NzqHA501y?Od9;`(IH!Qb~{?z zJ)^B)n>$r}C9st_M`|#@oQoX-Ta+DLuvhcF$893BF}BA#@ONu!KC#O?`WbTt^FUYj zLyCpJ_K0K5#umkX1{Qx7Nf-Jtd(UHk##Y``8{ql<_usGSXO~Z8p0Td+%V0g5-P+3b zz)yE56CR&_+teQ4_>I#qu}7lEK_q9#ey{u2%|UIlf^dz0DO z7awEvLCKiM6KN-`)kWTL^ueiXZ^&@iXITS>PB_*)kO*z#d6#cvVmox`pE~+8)?$xm z&j9>@k>%Q}4M2bP*L3KgI{H%*_(ms)%^v<9KVdujU_5;|ku><$c0FW7 zzW;-qPTRnCz+4eacMwV6=B`=)!a6la{}!Ipmc0$= zr-vPOnEsYI`$#lL+j!zxE6w*s9Q{w~=^kAo?EpG37r*-It6E2Z%^3e4zMYE?(blb7 z&4*+UG4>ZohTJ$~#tgmY&C&lxQ=@(EX#>^?KW29#H{dgl-(Wm_ys0#-&&KZzTe8FZ zwGX{pQ!4G_&wu{2{!UJ7#{gta#(wr9VvPcMI!EWh0JdTFNNueR7~2AST+ogj+IJqh zTaeOc`EDe-dwBSk=#CgO`2N%tS6rd}3amVx-_C;p`Yb-h$c*fz##|mx8-VuMj*;|@(<)&a4m$lBuuogq3! zczyO&N7w7fd�e$H<3q0KZVaFTg%0?6JstK)##Bw`SRYi~Tmy9XR}c$DmmFMO;?v+94h9?yI2dp+;9$VPK)1tyOS#mB4N5|{D#&)JG>w6e?|W#* zto1e3?sY~W==J+%=1(Xr%6A*S3V)^7S2Z(#dD((-Q}f-ykjGc)srLJfnfdibFn`to zeR5`af{k8Tf)T^Xme>>A{M%MvW&puEtvt@CW^CLgOm@HPb!8n!Q&Mo`eW##0?M8Y-nT8E*rP1^W0M lV4pF*5ebrD#(0ZDa?|Y^eD|zyky5>-=KbW?Il3PP{tx2!ExG^z literal 0 HcmV?d00001 diff --git a/example-selftest/IP/pll.v b/example-selftest/IP/pll.v new file mode 100644 index 0000000..a4d4024 --- /dev/null +++ b/example-selftest/IP/pll.v @@ -0,0 +1,115 @@ +`timescale 1 ns / 1 ns + +// synopsys translate_on +module pll ( + input wire inclk0, + output wire c0, + output wire locked +); + + wire [4:0] sub_wire0; + wire sub_wire2; + wire [0:0] sub_wire5 = 1'h0; + wire [0:0] sub_wire1 = sub_wire0[0:0]; + assign c0 = sub_wire1; + assign locked = sub_wire2; + wire sub_wire3 = inclk0; + wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + + altpll altpll_component ( + .inclk (sub_wire4), + .clk (sub_wire0), + .locked (sub_wire2), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 1, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 6, + altpll_component.clk0_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 20000, + altpll_component.intended_device_family = "Cyclone IV E", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + + +endmodule diff --git a/example-selftest/RTL/axi_self_test_master.sv b/example-selftest/RTL/axi_self_test_master.sv new file mode 100644 index 0000000..6631986 --- /dev/null +++ b/example-selftest/RTL/axi_self_test_master.sv @@ -0,0 +1,113 @@ +`timescale 1 ns/1 ns + +module axi_self_test_master #( + parameter A_WIDTH_TEST = 26, + parameter A_WIDTH = 26, + parameter D_WIDTH = 16, + parameter D_LEVEL = 1, + parameter [7:0] WBURST_LEN = 8'd7, + parameter [7:0] RBURST_LEN = 8'd7 +)( + input wire aresetn, + input wire aclk, + output wire awvalid, + input wire awready, + output reg [A_WIDTH-1:0] awaddr, + output wire [ 7:0] awlen, + output wire wvalid, + input wire wready, + output wire wlast, + output wire [D_WIDTH-1:0] wdata, + input wire bvalid, + output wire bready, + output wire arvalid, + input wire arready, + output reg [A_WIDTH-1:0] araddr, + output wire [ 7:0] arlen, + input wire rvalid, + output wire rready, + input wire rlast, + input wire [D_WIDTH-1:0] rdata, + output reg error, + output reg [ 15:0] error_cnt +); + +wire aw_end; +reg awaddr_carry; +reg [7:0] w_cnt; +enum logic [2:0] {INIT, AW, W, B, AR, R} stat; + +generate if(A_WIDTH_TEST + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 'error' == high + + + + + + 00000000000000000000000000000010000000000000000 + 00000000000000000000000000000010000000000000000 + + + + + + + + + + + + + + + + + + + 0110111111001011001100000000000000000011011111100101110110000000000000000001101111110010110011000000000000000000110111111001011101100000000000000000011011111100101100110000000000000000001101111110010111011000000000000000000110111111001011001100000000000000000011011111100101110110000000000000000001101111110010110011000000000000000000110111111001011101100000000000000000011011111100101100110000000000000000001101111110010111011000000000000000000110111111001011001100000000000000000011011111100101110110000000000000000001101111110010110011000000000000000000110111111001011101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000001000000000000000000000010000000000000110000000000000000000001000000000000010011000000000000000000100000000000001101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000000000100000000000000000010000000000000010010000000000000000001000000000000010011000000000000000000100000000000001101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000001001100000000000000000010000000000000110110000000000000000001000000000000010011000000000000000000100000000000001101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000001001100000000000000000010000000000000110110000000000000000001000000000000000001000000000000000000100000000000000100100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000001001100000000000000000010000000000000110110000000000000000001000000000000010011000000000000000000100000000000001101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000000100000000000001001100000000000000000010000000000000110110000000000000000001000000000000010011000000000000000000100000000000001101100000000000000000010000000000000100110000000000000000001000000000000011011000000000000000001010110110001011000100000000000000000101011011000101110010000000000000000010101101100010110011000000000000000001010110110001011101100000000000000000001000000010101000110000000000000000000100000001010101011000000000000000000010100000101010001100000000000000000001010000010101010110000000000000000000100100001010100011000000000000000000010010000101010101100000000000000000001011000010101000110000000000000000000101100001010101011000000000000000000010001000101010001100000000000000000001000100010101010110000000000000000000101010001010100011000000000000000000010101000101010101100000000000000000001001100010101000110000000000000000000100110001010101011000000000000000000010111000101010001100000000000000000001011100010101010110000000000000000000100001001010100011000000000000000000010000100101010101100000000000000000001010010010101000110000000000000000000101001001010101011000000000000000000010010100101010001100000000000000000001001010010101010110000000000000000000101101001010100011000000000000000000010110100101010101100000000000000000001000110010101000110000000000000000000100011001010101011000000000000000000010101100101010001100000000000000000001010110010101010110000000000000000000100111001010100011000000000000000000010011100101010101100000000000000000011011110010101000110000000000000000001101111001010101011000000000000000000110111100101011001100000000000000000011011110010101110110000000000000000001101111001010110011000000000000000000110111100101011101100000000000000000011011110010101100110000000000000000001101111001010111011000000000000000000110111100101011001100000000000000000011011110010101110110000000000000000001101111001010110011000000000000000000110111100101011101100000000000000000011011110010101100110000000000000000001101111001010111011000000000000000000110111100101011001100000000000000000011011110010101110110000000000000000001101111001010110011000000000000000000110111100101011101100000000000000000101011011000101100010000000000000000010101101100010111001000000000000000001010110110001011001100000000000000000101011011000101110110000000000000000000100000101010100011000000000000000000010000010101010101100000000000000000001010001010101000110000000000000000000101000101010101011000000000000000000010010010101010001100000000000000000001001001010101010110000000000000000000101100101010100011000000000000000000010110010101010101100000000000000000001000101010101000110000000000000000000100010101010101011000000000000000000010101010101010001100000000000000000001010101010101010110000000000000000000100110101010100011000000000000000000010011010101010101100000000000000000001011101010101000110000000000000000000101110101010101011000000000000000000010000110101010001100000000000000000001000011010101010110000000000000000000101001101010100011000000000000000000010100110101010101100000000000000000001001011010101000110000000000000000000100101101010101011000000000000000000010110110101010001100000000000000000001011011010101010110000000000000000000100011101010100011000000000000000000010001110101010101100000000000000000001010111010101000110000000000000000000101011101010101011000000000000000000010011110101010001100000000000000000001001111010101010110000000000000000001101111101010100011000000000000000000110111110101010101100000000000000000011011111010101100110000000000000000001101111101010111011000000000000000000110111110101011001100000000000000000011011111010101110110000000000000000001101111101010110011000000000000000000110111110101011101100000000000000000011011111010101100110000000000000000001101111101010111011000000000000000000110111110101011001100000000000000000011011111010101110110000000000000000001101111101010110011000000000000000000110111110101011101100000000000000000011011111010101100110000000000000000001101111101010111011000000000000000000110111110101011001100000000000000000011011111010101110110000000000000000010101101100010110001000000000000000001010110110001011100100000000000000000101011011000101100110000000000000000010101101100010111011000000000000000000010000001101010001100000000000000000001000000110101010110000000000000000000101000011010100011000000000000000000010100001101010101100000000000000000001001000110101000110000000000000000000100100011010101011000000000000000000010110001101010001100000000000000000001011000110101010110000000000000000000100010011010100011000000000000000000010001001101010101100000000000000000001010100110101000110000000000000000000101010011010101011000000000000000000010011001101010001100000000000000000001001100110101010110000000000000000000101110011010100011000000000000000000010111001101010101100000000000000000001000010110101000110000000000000000000100001011010101011000000000000000000010100101101010001100000000000000000001010010110101010110000000000000000000100101011010100011000000000000000000010010101101010101100000000000000000001011010110101000110000000000000000000101101011010101011000000000000000000010001101101010001100000000000000000001000110110101010110000000000000000000101011011010100011000000000000000000010101101101010101100000000000000000001001110110101000110000000000000000000100111011010101011000000000000000000110111101101010001100000000000000000011011110110101010110000000000000000001101111011010110011000000000000000000110111101101011101100000000000000000011011110110101100110000000000000000001101111011010111011000000000000000000110111101101011001100000000000000000011011110110101110110000000000000000001101111011010110011000000000000000000110111101101011101100000000000000000011011110110101100110000000000000000001101111011010111011000000000000000000110111101101011001100000000000000000011011110110101110110000000000000000001101111011010110011000000000000000000110111101101011101100000000000000000011011110110101100110000000000000000001101111011010111011000000000000000001010110110001011000100000000000000000101011011000101110010000000000000000010101101100010110011000000000000000001010110110001011101100000000000000000001000001110101000110000000000000000000100000111010101011000000000000000000010100011101010001100000000000000000001010001110101010110000000000000000000100100111010100011000000000000000000010010011101010101100000000000000000001011001110101000110000000000000000000101100111010101011000000000000000000010001011101010001100000000000000000001000101110101010110000000000000000000101010111010100011000000000000000000010101011101010101100000000000000000001001101110101000110000000000000000000100110111010101011000000000000000000010111011101010001100000000000000000001011101110101010110000000000000000000100001111010100011000000000000000000010000111101010101100000000000000000001010011110101000110000000000000000000101001111010101011000000000000000000010010111101010001100000000000000000001001011110101010110000000000000000000101101111010100011000000000000000000010110111101010101100000000000000000001000111110101000110000000000000000000100011111010101011000000000000000000010101111101010001100000000000000000001010111110101010110000000000000000000100111111010100011000000000000000000010011111101010101100000000000000000011011111110101000110000000000000000001101111111010101011000000000000000000110111111101011001100000000000000000011011111110101110110000000000000000001101111111010110011000000000000000000110111111101011101100000000000000000011011111110101100110000000000000000001101111111010111011000000000000000000110111111101011001100000000000000000011011111110101110110000000000000000001101111111010110011000000000000000000110111111101011101100000000000000000011011111110101100110000000000000000001101111111010111011000000000000000000110111111101011001100000000000000000011011111110101110110000000000000000001101111111010110011000000000000000000110111111101011101100000000000000000101011011000101100010000000000000000010101101100010111001000000000000000001010110110001011001100000000000000000101011011000101110110000000000000000000100000000110100011000000000000000000010000000011010101100000000000000000001010000001101000110000000000000000000101000000110101011000000000000000000010010000011010001100000000000000000001001000001101010110000000000000000000101100000110100011000000000000000000010110000011010101100000000000000000001000100001101000110000000000000000000100010000110101011000000000000000000010101000011010001100000000000000000001010100001101010110000000000000000000100110000110100011000000000000000000010011000011010101100000000000000000001011100001101000110000000000000000000101110000110101011000000000000000000010000100011010001100000000000000000001000010001101010110000000000000000000101001000110100011000000000000000000010100100011010101100000000000000000001001010001101000110000000000000000000100101000110101011000000000000000000010110100011010001100000000000000000001011010001101010110000000000000000000100011000110100011000000000000000000010001100011010101100000000000000000001010110001101000110000000000000000000101011000110101011000000000000000000010011100011010001100000000000000000001001110001101010110000000000000000001101111000110100011000000000000000000110111100011010101100000000000000000011011110001101100110000000000000000001101111000110111011000000000000000000110111100011011001100000000000000000011011110001101110110000000000000000001101111000110110011000000000000000000110111100011011101100000000000000000011011110001101100110000000000000000001101111000110111011000000000000000000110111100011011001100000000000000000011011110001101110110000000000000000001101111000110110011000000000000000000110111100011011101100000000000000000011011110001101100110000000000000000001101111000110111011000000000000000000110111100011011001100000000000000000011011110001101110110000000000000000010101101100010110001000000000000000001010110110001011100100000000000000000101011011000101100110000000000000000010101101100010111011000000000000000000010000010011010001100000000000000000001000001001101010110000000000000000000101000100110100011000000000000000000010100010011010101100000000000000000001001001001101000110000000000000000000100100100110101011000000000000000000010110010011010001100000000000000000001011001001101010110000000000000000000100010100110100011000000000000000000010001010011010101100000000000000000001010101001101000110000000000000000000101010100110101011000000000000000000010011010011010001100000000000000000001001101001101010110000000000000000000101110100110100011000000000000000000010111010011010101100000000000000000001000011001101000110000000000000000000100001100110101011000000000000000000010100110011010001100000000000000000001010011001101010110000000000000000000100101100110100011000000000000000000010010110011010101100000000000000000001011011001101000110000000000000000000101101100110101011000000000000000000010001110011010001100000000000000000001000111001101010110000000000000000000101011100110100011000000000000000000010101110011010101100000000000000000001001111001101000110000000000000000000100111100110101011000000000000000000110111110011010001100000000000000000011011111001101010110000000000000000001101111100110110011000000000000000000110111110011011101100000000000000000011011111001101100110000000000000000001101111100110111011000000000000000000110111110011011001100000000000000000011011111001101110110000000000000000001101111100110110011000000000000000000110111110011011101100000000000000000011011111001101100110000000000000000001101111100110111011000000000000000000110111110011011001100000000000000000011011111001101110110000000000000000001101111100110110011000000000000000000110111110011011101100000000000000000011011111001101100110000000000000000001101111100110111011000000000000000001010110110001011000100000000000000000101011011000101110010000000000000000010101101100010110011000000000000000001010110110001011101100000000000000000001000000101101000110000000000000000000100000010110101011000000000000000000010100001011010001100000000000000000001010000101101010110000000000000000000100100010110100011000000000000000000010010001011010101100000000000000000001011000101101000110000000000000000000101100010110101011000000000000000000010001001011010001100000000000000000001000100101101010110000000000000000000101010010110100011000000000000000000010101001011010101100000000000000000001001100101101000110000000000000000000100110010110101011000000000000000000010111001011010001100000000000000000001011100101101010110000000000000000000100001010110100011000000000000000000010000101011010101100000000000000000001010010101101000110000000000000000000101001010110101011000000000000000000010010101011010001100000000000000000001001010101101010110000000000000000000101101010110100011000000000000000000010110101011010101100000000000000000001000110101101000110000000000000000000100011010110101011000000000000000000010101101011010001100000000000000000001010110101101010110000000000000000000100111010110100011000000000000000000010011101011010101100000000000000000011011110101101000110000000000000000001101111010110101011000000000000000000110111101011011001100000000000000000011011110101101110110000000000000000001101111010110110011000000000000000000110111101011011101100000000000000000011011110101101100110000000000000000001101111010110111011000000000000000000110111101011011001100000000000000000011011110101101110110000000000000000001101111010110110011000000000000000000110111101011011101100000000000000000011011110101101100110000000000000000001101111010110111011000000000000000000110111101011011001100000000000000000011011110101101110110000000000000000001101111010110110011000000000000000000110111101011011101100000000000000000101011011000101100010000000000000000010101101100010111001000000000000000001010110110001011001100000000000000000101011011000101110110000000000000000000100000110110100011000000000000000000010000011011010101100000000000000000001010001101101000110000000000000000000101000110110101011000000000000000000010010011011010001100000000000000000001001001101101010110000000000000000000101100110110100011000000000000000000010110011011010101100000000000000000001000101101101000110000000000000000000100010110110101011000000000000000000010101011011010001100000000000000000001010101101101010110000000000000000000100110110110100011000000000000000000010011011011010101100000000000000000001011101101101000110000000000000000000101110110110101011000000000000000000010000111011010001100000000000000000001000011101101010110000000000000000000101001110110100011000000000000000000010100111011010101100000000000000000001001011101101000110000000000000000000100101110110101011000000000000000000010110111011010001100000000000000000001011011101101010110000000000000000000100011110110100011000000000000000000010001111011010101100000000000000000001010111101101000110000000000000000000101011110110101011000000000000000000010011111011010001100000000000000000001001111101101010110000000000000000001101111110110100011000000000000000000110111111011010101100000000000000000011011111101101100110000000000000000001101111110110111011000000000000000000110111111011011001100000000000000000011011111101101110110000000000000000001101111110110110011000000000000000000110111111011011101100000000000000000011011111101101100110000000000000000001101111110110111011000000000000000000110111111011011001100000000000000000011011111101101110110000000000000000001101111110110110011000000000000000000110111111011011101100000000000000000011011111101101100110000000000000000001101111110110111011000000000000000000110111111011011001100000000000000000011011111101101110110000000000000000010101101100010110001000000000000000001010110110001011100100000000000000000101011011000101100110000000000000000010101101100010111011000000000000000000010000000111010001100000000000000000001000000011101010110000000000000000000101000001110100011000000000000000000010100000111010101100000000000000000001001000011101000110000000000000000000100100001110101011000000000000000000010110000111010001100000000000000000001011000011101010110000000000000000000100010001110100011000000000000000000010001000111010101100000000000000000001010100011101000110000000000000000000101010001110101011000000000000000000010011000111010001100000000000000000001001100011101010110000000000000000000101110001110100011000000000000000000010111000111010101100000000000000000001000010011101000110000000000000000000100001001110101011000000000000000000010100100111010001100000000000000000001010010011101010110000000000000000000100101001110100011000000000000000000010010100111010101100000000000000000001011010011101000110000000000000000000101101001110101011000000000000000000010001100111010001100000000000000000001000110011101010110000000000000000000101011001110100011000000000000000000010101100111010101100000000000000000001001110011101000110000000000000000000100111001110101011000000000000000000110111100111010001100000000000000000011011110011101010110000000000000000001101111001110110011000000000000000000110111100111011101100000000000000000011011110011101100110000000000000000001101111001110111011000000000000000000110111100111011001100000000000000000 + 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/example-selftest/ddr_test.qpf b/example-selftest/ddr_test.qpf new file mode 100644 index 0000000..ffa6fe3 --- /dev/null +++ b/example-selftest/ddr_test.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 21:02:52 January 17, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.1" +DATE = "21:02:52 January 17, 2021" + +# Revisions + +PROJECT_REVISION = "ddr_test" diff --git a/example-selftest/ddr_test.qsf b/example-selftest/ddr_test.qsf new file mode 100644 index 0000000..d6e7d48 --- /dev/null +++ b/example-selftest/ddr_test.qsf @@ -0,0 +1,179 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 21:02:52 January 17, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# ddr_test_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE6E22C8 +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:02:52 JANUARY 17, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" + +set_location_assignment PIN_23 -to clk50m + +set_location_assignment PIN_10 -to ddr_cs_n +set_location_assignment PIN_30 -to ddr_ras_n +set_location_assignment PIN_31 -to ddr_cas_n +set_location_assignment PIN_32 -to ddr_we_n +set_location_assignment PIN_86 -to ddr_cke +set_location_assignment PIN_85 -to ddr_ck_p +set_location_assignment PIN_84 -to ddr_ck_n +set_location_assignment PIN_7 -to ddr_ba[0] +set_location_assignment PIN_3 -to ddr_ba[1] +set_location_assignment PIN_1 -to ddr_a[0] +set_location_assignment PIN_144 -to ddr_a[1] +set_location_assignment PIN_143 -to ddr_a[2] +set_location_assignment PIN_142 -to ddr_a[3] +set_location_assignment PIN_106 -to ddr_a[4] +set_location_assignment PIN_105 -to ddr_a[5] +set_location_assignment PIN_104 -to ddr_a[6] +set_location_assignment PIN_103 -to ddr_a[7] +set_location_assignment PIN_100 -to ddr_a[8] +set_location_assignment PIN_99 -to ddr_a[9] +set_location_assignment PIN_2 -to ddr_a[10] +set_location_assignment PIN_98 -to ddr_a[11] +set_location_assignment PIN_87 -to ddr_a[12] +set_location_assignment PIN_83 -to ddr_dm[0] +set_location_assignment PIN_80 -to ddr_dqs[0] +set_location_assignment PIN_38 -to ddr_dq[0] +set_location_assignment PIN_39 -to ddr_dq[1] +set_location_assignment PIN_34 -to ddr_dq[2] +set_location_assignment PIN_33 -to ddr_dq[3] +set_location_assignment PIN_76 -to ddr_dq[4] +set_location_assignment PIN_75 -to ddr_dq[5] +set_location_assignment PIN_74 -to ddr_dq[6] +set_location_assignment PIN_73 -to ddr_dq[7] + +set_location_assignment PIN_42 -to error +set_location_assignment PIN_141 -to error_cnt[0] +set_location_assignment PIN_138 -to error_cnt[1] +set_location_assignment PIN_137 -to error_cnt[2] +set_location_assignment PIN_136 -to error_cnt[3] +set_location_assignment PIN_135 -to error_cnt[4] +set_location_assignment PIN_133 -to error_cnt[5] +set_location_assignment PIN_132 -to error_cnt[6] +set_location_assignment PIN_129 -to error_cnt[7] +set_location_assignment PIN_128 -to error_cnt[8] +set_location_assignment PIN_127 -to error_cnt[9] +set_location_assignment PIN_126 -to error_cnt[10] +set_location_assignment PIN_125 -to error_cnt[11] +set_location_assignment PIN_124 -to error_cnt[12] +set_location_assignment PIN_121 -to error_cnt[13] +set_location_assignment PIN_120 -to error_cnt[14] +set_location_assignment PIN_119 -to error_cnt[15] + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name ENABLE_SIGNALTAP ON +set_global_assignment -name USE_SIGNALTAP_FILE SignalTap/stp1.stp +set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=AUTO" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=00000000000000000000000000" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=26" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=512" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=512" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "ddr_ctrl:ddr_ctrl_i|clk2" -section_id auto_signaltap_0 +set_global_assignment -name SYSTEMVERILOG_FILE RTL/top.sv +set_global_assignment -name SYSTEMVERILOG_FILE RTL/axi_self_test_master.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ddr_sdram_ctrl.sv +set_global_assignment -name VERILOG_FILE IP/pll.v +set_global_assignment -name SIGNALTAP_FILE SignalTap/stp1.stp +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to error -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to ddr_a[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to ddr_a[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to ddr_a[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to ddr_a[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to ddr_a[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to ddr_a[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to ddr_a[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to ddr_a[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to ddr_a[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to ddr_a[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to ddr_a[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to ddr_a[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to ddr_a[9] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to ddr_ba[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to ddr_ba[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to ddr_cas_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to ddr_ck_p -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to ddr_cs_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to ddr_ras_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to ddr_we_n -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to error -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to error_cnt[0] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to error_cnt[10] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to error_cnt[11] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to error_cnt[12] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to error_cnt[13] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to error_cnt[14] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to error_cnt[15] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to error_cnt[1] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to error_cnt[2] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to error_cnt[3] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to error_cnt[4] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to error_cnt[5] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to error_cnt[6] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to error_cnt[7] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to error_cnt[8] -section_id auto_signaltap_0 +set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to error_cnt[9] -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=37" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=45013" -section_id auto_signaltap_0 +set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=22477" -section_id auto_signaltap_0 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name SLD_FILE db/stp1_auto_stripped.stp \ No newline at end of file diff --git a/example-uart-read-write/IP/pll.v b/example-uart-read-write/IP/pll.v new file mode 100644 index 0000000..a4d4024 --- /dev/null +++ b/example-uart-read-write/IP/pll.v @@ -0,0 +1,115 @@ +`timescale 1 ns / 1 ns + +// synopsys translate_on +module pll ( + input wire inclk0, + output wire c0, + output wire locked +); + + wire [4:0] sub_wire0; + wire sub_wire2; + wire [0:0] sub_wire5 = 1'h0; + wire [0:0] sub_wire1 = sub_wire0[0:0]; + assign c0 = sub_wire1; + assign locked = sub_wire2; + wire sub_wire3 = inclk0; + wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + + altpll altpll_component ( + .inclk (sub_wire4), + .clk (sub_wire0), + .locked (sub_wire2), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 1, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 6, + altpll_component.clk0_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 20000, + altpll_component.intended_device_family = "Cyclone IV E", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + + +endmodule diff --git a/example-uart-read-write/RTL/axis2uarttx.sv b/example-uart-read-write/RTL/axis2uarttx.sv new file mode 100644 index 0000000..91a8818 --- /dev/null +++ b/example-uart-read-write/RTL/axis2uarttx.sv @@ -0,0 +1,138 @@ + +module axis2uarttx #( + parameter CLK_DIV = 434, + parameter DATA_WIDTH = 32, + parameter FIFO_ASIZE = 8 +) ( + // AXI-stream (slave) side + input logic aclk, aresetn, + input logic tvalid, tlast, + output logic tready, + input logic [DATA_WIDTH-1:0] tdata, + // UART TX signal + output logic uart_tx +); +localparam TX_WIDTH = (DATA_WIDTH+3) / 4; + +function automatic logic [7:0] hex2ascii(input [3:0] hex); + return (hex<4'hA) ? (hex+"0") : (hex+("A"-8'hA)) ; +endfunction + +logic uart_txb; +logic [FIFO_ASIZE-1:0] fifo_rpt='0, fifo_wpt='0; +wire [FIFO_ASIZE-1:0] fifo_wpt_next = fifo_wpt + {{(FIFO_ASIZE-1){1'b0}}, 1'b1}; +wire [FIFO_ASIZE-1:0] fifo_rpt_next = fifo_rpt + {{(FIFO_ASIZE-1){1'b0}}, 1'b1}; +logic [31:0] cyccnt=0, hexcnt=0, txcnt=0; +logic [ 7:0] txshift = '1; +logic fifo_tlast; +logic [DATA_WIDTH-1:0] fifo_data; +logic endofline = 1'b0; +logic [TX_WIDTH*4-1:0] data='0; +wire emptyn = (fifo_rpt != fifo_wpt); +assign tready = (fifo_rpt != fifo_wpt_next) & aresetn; + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) + uart_tx <= 1'b1; + else begin + uart_tx <= uart_txb; + end + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) + fifo_wpt <= '0; + else begin + if(tvalid & tready) fifo_wpt <= fifo_wpt_next; + end + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) + cyccnt <= 0; + else + cyccnt <= (cyccnt1) + txshift <= hex2ascii(data[(hexcnt-2)*4+:4]); + else if(endofline) + txshift <= "\n"; + else + txshift <= " "; + txcnt <= 11; + end + end + end else if(emptyn) begin + uart_txb <= 1'b1; + hexcnt <= 2 + TX_WIDTH; + txcnt <= 0; + fifo_rpt <= fifo_rpt_next; + end + end + +ram_for_axi_stream_to_uart_tx_fifo #( + .ADDR_LEN ( FIFO_ASIZE ), + .DATA_LEN ( DATA_WIDTH + 1 ) +) ram_for_uart_tx_fifo_inst ( + .clk ( aclk ), + .wr_req ( tvalid & tready ), + .wr_addr ( fifo_wpt ), + .wr_data ( {tlast, tdata} ), + .rd_addr ( fifo_rpt ), + .rd_data ( {fifo_tlast,fifo_data} ) +); + +endmodule + + + + + + +module ram_for_axi_stream_to_uart_tx_fifo #( + parameter ADDR_LEN = 12, + parameter DATA_LEN = 8 +) ( + input logic clk, + input logic wr_req, + input logic [ADDR_LEN-1:0] rd_addr, wr_addr, + output logic [DATA_LEN-1:0] rd_data, + input logic [DATA_LEN-1:0] wr_data +); + +localparam RAM_SIZE = (1<=8'h30 && c<= 8'h39) + return 1'b1; + else if(c>=8'h41 && c<=8'h46 || c>=8'h61 && c<=8'h66) + return 1'b1; + else + return 1'b0; +endfunction + +function automatic logic [3:0] getHex(input [7:0] c); + if(c>=8'h30 && c<= 8'h39) + return c[3:0]; + else if(c>=8'h41 && c<=8'h46 || c>=8'h61 && c<=8'h66) + return c[3:0] + 8'h9; + else + return 4'd0; +endfunction + +localparam V_WIDTH = A_WIDTH>D_WIDTH ? (A_WIDTH>8?A_WIDTH:8) : (D_WIDTH>8?D_WIDTH:8); + +wire rx_valid; +wire [7:0] rx_data; + +reg rw; // 0:write 1:read +reg [V_WIDTH-1:0] value; +reg [ 7:0] value_cnt; +reg wbuf_wen; +reg [ 7:0] wbuf_waddr; +reg [D_WIDTH-1:0] wbuf_wdata; +reg [ 7:0] wbuf_raddr; +wire[ 7:0] wbuf_raddr_n = stat == AXI_W && wready ? wbuf_raddr + 8'd1 : wbuf_raddr; +wire[D_WIDTH-1:0] wbuf_rdata; +enum logic [3:0] {IDLE, INVALID, GADDR, GRLEN, GWDATA, AXI_AR, AXI_R, AXI_AW, AXI_W, AXI_B} stat; + +assign awvalid = stat == AXI_AW; +assign wvalid = stat == AXI_W; +assign wlast = wbuf_raddr == wbuf_waddr; +assign wdata = wbuf_rdata; +assign bready = stat == AXI_B; +assign arvalid = stat == AXI_AR; + +always @ (posedge aclk or negedge aresetn) + if(~aresetn) begin + awaddr <= '0; + awlen <= '0; + araddr <= '0; + arlen <= '0; + rw <= 1'b0; + value <= '0; + value_cnt <= '0; + wbuf_wen <= 1'b0; + wbuf_waddr <= '0; + wbuf_wdata <= '0; + wbuf_raddr <= '0; + stat <= IDLE; + end else begin + wbuf_wen <= 1'b0; + case(stat) + IDLE: if(rx_valid) begin + value <= '0; + value_cnt <= '0; + wbuf_raddr <= '0; + if( isW(rx_data) ) begin + rw <= 1'b0; + stat <= GADDR; + end else if( isR(rx_data) ) begin + rw <= 1'b1; + stat <= GADDR; + end else if( ~isNewline(rx_data) ) begin + stat <= INVALID; + end + end + GADDR: if(rx_valid) begin + if( isNewline(rx_data) ) begin + value <= '0; + stat <= IDLE; + end else if( isSpace(rx_data) ) begin + value <= '0; + if(rw) begin + araddr <= value[A_WIDTH-1:0]; + stat <= GRLEN; + end else begin + awaddr <= value[A_WIDTH-1:0]; + stat <= GWDATA; + end + end else if( isHex(rx_data) ) begin + value <= { value[V_WIDTH-5:0], getHex(rx_data) }; + end else begin + stat <= INVALID; + end + end + GRLEN: if(rx_valid) begin + if( isNewline(rx_data) ) begin + value <= '0; + arlen <= value[7:0]; + stat <= AXI_AR; + end else if( isHex(rx_data) ) begin + value <= { value[V_WIDTH-5:0], getHex(rx_data) }; + end else begin + stat <= INVALID; + end + end + GWDATA: if(rx_valid) begin + if( isNewline(rx_data) ) begin + wbuf_wen <= 1'b1; + wbuf_waddr <= value_cnt; + wbuf_wdata <= value[D_WIDTH-1:0]; + awlen <= value_cnt; + stat <= AXI_AW; + end else if( isSpace(rx_data) ) begin + value <= '0; + value_cnt <= value_cnt + 8'd1; + wbuf_wen <= 1'b1; + wbuf_waddr <= value_cnt; + wbuf_wdata <= value[D_WIDTH-1:0]; + end else if( isHex(rx_data) ) begin + value <= { value[V_WIDTH-5:0], getHex(rx_data) }; + end else begin + stat <= INVALID; + end + end + INVALID: if( rx_valid ) begin + if ( isNewline(rx_data) ) + stat <= IDLE; + end + AXI_AR: if(arready) begin + stat <= AXI_R; + end + AXI_R: if(rvalid & rready & rlast) begin + stat <= IDLE; + end + AXI_AW: if(awready) begin + stat <= AXI_W; + end + AXI_W: if(wready) begin + wbuf_raddr <= wbuf_raddr + 8'd1; + if(wbuf_raddr==awlen) + stat <= AXI_B; + end + AXI_B: if(bvalid) begin + stat <= IDLE; + end + default: stat<=IDLE; + endcase + end + +ram_for_axi4write #( + .ADDR_LEN ( 8 ), + .DATA_LEN ( D_WIDTH ) +) ram_for_axi4write_i ( + .clk ( aclk ), + .wr_req ( wbuf_wen ), + .wr_addr ( wbuf_waddr ), + .wr_data ( wbuf_wdata ), + .rd_addr ( wbuf_raddr_n ), + .rd_data ( wbuf_rdata ) +); + +uart_rx#( + .CLK_DIV ( 162 ), + .CLK_PART ( 6 ) +) uart_rx_i ( + .rstn ( aresetn ), + .clk ( aclk ), + .rx ( uart_rx ), + .rvalid ( rx_valid ), + .rdata ( rx_data ) +); + +axis2uarttx #( + .CLK_DIV ( 651 ), + .DATA_WIDTH ( D_WIDTH ), + .FIFO_ASIZE ( 10 ) +) uart_tx_i ( + .aresetn ( aresetn ), + .aclk ( aclk ), + .tvalid ( rvalid ), + .tready ( rready ), + .tlast ( rlast ), + .tdata ( rdata ), + .uart_tx ( uart_tx ) +); + +endmodule + + + + + + + + +module ram_for_axi4write #( + parameter ADDR_LEN = 12, + parameter DATA_LEN = 8 +) ( + input logic clk, + input logic wr_req, + input logic [ADDR_LEN-1:0] rd_addr, wr_addr, + output logic [DATA_LEN-1:0] rd_data, + input logic [DATA_LEN-1:0] wr_data +); + +localparam RAM_SIZE = (1<=CLK_DIV) : (cnt>=CLK_DIV-1) ) begin + if(status==0) begin + if(shift == 6'b111_000) + status <= 1; + end else begin + if(status[5] == 1'b0) begin + if(status[1:0] == 2'b11) + databuf <= {recvbit, databuf[7:1]}; + status <= status + 5'b1; + end else begin + if(status<62) begin + status <= 62; + data <= databuf; + done <= 1'b1; + end else begin + status <= status + 6'd1; + end + end + end + shift <= {shift[4:0], rxr}; + supercnt <= supercnt + 3'h1; + cnt <= 0; + end else + cnt <= cnt + 1; + end + +endmodule \ No newline at end of file diff --git a/example-uart-read-write/ddr_test.qpf b/example-uart-read-write/ddr_test.qpf new file mode 100644 index 0000000..89f3e88 --- /dev/null +++ b/example-uart-read-write/ddr_test.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 17:45:24 January 23, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.1" +DATE = "17:45:24 January 23, 2021" + +# Revisions + +PROJECT_REVISION = "ddr_test" diff --git a/example-uart-read-write/ddr_test.qsf b/example-uart-read-write/ddr_test.qsf new file mode 100644 index 0000000..bb6648c --- /dev/null +++ b/example-uart-read-write/ddr_test.qsf @@ -0,0 +1,100 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 17:45:24 January 23, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# ddr_test_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE6E22C8 +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:24 JANUARY 23, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" +set_global_assignment -name SYSTEMVERILOG_FILE RTL/top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ddr_sdram_ctrl.sv +set_global_assignment -name SYSTEMVERILOG_FILE RTL/uart2axi4.sv +set_global_assignment -name SYSTEMVERILOG_FILE RTL/axis2uarttx.sv +set_global_assignment -name SYSTEMVERILOG_FILE RTL/uart_rx.sv +set_global_assignment -name VERILOG_FILE IP/pll.v + +set_location_assignment PIN_23 -to clk50m + +set_location_assignment PIN_28 -to uart_tx +set_location_assignment PIN_25 -to uart_rx + +set_location_assignment PIN_10 -to ddr_cs_n +set_location_assignment PIN_30 -to ddr_ras_n +set_location_assignment PIN_31 -to ddr_cas_n +set_location_assignment PIN_32 -to ddr_we_n +set_location_assignment PIN_86 -to ddr_cke +set_location_assignment PIN_85 -to ddr_ck_p +set_location_assignment PIN_84 -to ddr_ck_n +set_location_assignment PIN_7 -to ddr_ba[0] +set_location_assignment PIN_3 -to ddr_ba[1] +set_location_assignment PIN_1 -to ddr_a[0] +set_location_assignment PIN_144 -to ddr_a[1] +set_location_assignment PIN_143 -to ddr_a[2] +set_location_assignment PIN_142 -to ddr_a[3] +set_location_assignment PIN_106 -to ddr_a[4] +set_location_assignment PIN_105 -to ddr_a[5] +set_location_assignment PIN_104 -to ddr_a[6] +set_location_assignment PIN_103 -to ddr_a[7] +set_location_assignment PIN_100 -to ddr_a[8] +set_location_assignment PIN_99 -to ddr_a[9] +set_location_assignment PIN_2 -to ddr_a[10] +set_location_assignment PIN_98 -to ddr_a[11] +set_location_assignment PIN_87 -to ddr_a[12] +set_location_assignment PIN_83 -to ddr_dm[0] +set_location_assignment PIN_80 -to ddr_dqs[0] +set_location_assignment PIN_38 -to ddr_dq[0] +set_location_assignment PIN_39 -to ddr_dq[1] +set_location_assignment PIN_34 -to ddr_dq[2] +set_location_assignment PIN_33 -to ddr_dq[3] +set_location_assignment PIN_76 -to ddr_dq[4] +set_location_assignment PIN_75 -to ddr_dq[5] +set_location_assignment PIN_74 -to ddr_dq[6] +set_location_assignment PIN_73 -to ddr_dq[7] + + +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/hardware/gerber.zip b/hardware/gerber.zip new file mode 100644 index 0000000000000000000000000000000000000000..c704feb95e6474002edc134337e271b71bff297b GIT binary patch literal 104508 zcmY(qc_5Vg`##nL1U6oQH-qR5S9I88zVHvG9}S4gs7}- zmQ!bFM#@gMoHW)f$G+G1d4K-;{r(+hp4a_)-LLDu?)$nPBH=Kf#DN0`jvY`@av+M0 z0<_G72M(+rI&k0*=u=ytP%ocQPpjaoq2#mSVL|>ke6(x{XMN}n!Nn&SN-Zg+%3Ny zkw072TCbN}RR0U{;qXxETb<;V{W9B%;1f*4Fg^38>_l3L`@=hgS? z_bTy5idKKXu>9YusXH7y`!5EH0R?&I)dl`(ythSu*ZQn>K5L^E4YO=m{5dBl7TbPE z&$e}8<;?2($wQKdwr5Rb|F*8%J@;1S+b-elCi?{O|96V{B1l9)`GEtRox=yD{=cUf z78ZQn{%V9zsFtmjy>s`c8zU!0k90M)#LESfS|7-mm0Wf&u{n53ldhFtqH(VLz(qln zfyK`H9u`Mr_zCIfMPuS`Gv12#x z-pKrIRmpm85qs#|LAFW5rNEiDxwmb*4fd|c%?CYAo4RBun+k7t%#z}dIKeV3)qf0s z-0=8@(HwA>;dt?k!aUC`;c=c%{?|v3r()%$gD|QE!&BrF$p_~99jg>_<4rRNwO_qk z6>Uyck8v9q*n8IDaSaJedbP2C2Jeo1VU%QD&)EO(!>?ig{GmIGe_Uu69FU({Uh}P< z56|C4XG`(y{@9%m`6^_q`n9`Z|BcZb$Dc3GzI$g%^@X4M*}t=UKNz<7PIEulzwEt2 zY<=^yz(nmMK?mNOYKb0GxoRVkGL2O{r>-KFvXU*tr>dfG?m?zNVz!gaIi#4SGE?tu zJ^?+!{6mcFY_^lFp|J3eauu~}P33CJiSwj4Eh5hYul{qNeVEx{oB|?MWT>|8RkzIp z;}Dm&FMc!)VSbm9lsR|UI_qttpa;&T8;`4`<1vE!e_W0C%fvDNIIk)uk*Id?yERMb zl=?AMr?%ryQSc_BYJSvvdt%f<`$PZoISk)R+xuwHH0mmvU?Jf^HFv=93OV*wn3hRX z`b8HxhUNDi6)?i5JSb#apzvV^(?7)n!49e_ zE{E@FGa@s&E|U-CCN!ZT*nOd9+9->w@aW6fBje7`B;K2-=ss1slD>MezD2oCr7Yp^ zEu%lNgX5JaS{}cM3AtYHvf9{y?gZvrOvSxj20bgwd*ugKIwHJ8cE`CnW(u%#@y96v7fl&y?}mal4gi1>Cme4c@HHiwK3Z(9?iq>x9Gq3(XdhU$qbnEq);`i)&lm44hy5%A%l%}%?K4#0s=mmsEFX7sueCYhe%$)F=chYQPbGTDc#2!b@~*>k)aLWPCB;m;e}zJ1b_MuoAD zu)W#DO51FwARI9)u*_-CHH^stj|ir-?t=Fd8xujNIltRQywoRK;E~kIlgBne)zlXl z!E{_gm&z}dp@t&mbpqYx-_rROwxW}0Oriz3^>`xrNI+RQTZk2nlS2hU%fiW{f)bCj zU)__nz97xsnK7^V$vft#e5|O9P_JFeiL=c%Fs42Ec|10J(}MkXWi^WI;YGV81!aLY z>bJOz)ad3nFRnPcI;n#I?{O$Hcapl>M+ft}2FD!ZO4eAQmt#JZ@L&gmn=?l>)2$Wt zq!);z%f*Z9cT|00R8^KM3o&%RPnDfztvrP~Cn_mbDS1ZY&_mR0Qe6zL)I2BbZ7%imP>> z7J~<-XyZAyeH&!ZqS1B*6 ziO3b_swp))c+3RqjT*iuQWxbddWxQ&70c$K(r~L}{2nVGCEw$0eZ_nLpdWdtilu0L zY7%%SM6k;C;hXpXrxA0LS+yE0I{8S?=OS9pE8Or)X?B8-veW(1S~0n{8qwx!XvbXK z5xR}nEx5+b2~@$jIuGYM_b$?7^0Tg*4T)dpsz8dw@d`IJ|Ae&~eb#GP3hKg$XjFw5 zKE;sAoO6Ji%jDXFA?J^9(X&QQ^z&esyd-6d?)UgK^*B2hwTHsjoK0h`<3Lq}-Ob9$ z6W(f{d(`kXYF0V-3Q)(Nm&b4mj!^~46Dql%y+q^i7V~IfC#iq%;SBa9&P`C)2F^c= z;yw#--NE725!~}1skBPV_yIwqWpsC;K6Msv+9Up(TY%zD;Z1arYBoh`E*c?TC{@uI zswK;959fB$_7~k>?bY-7lcE-@+b6yNm{Y%TX0xA9G&CI5s>eN(J^63O33!p3!G|QI z3hTn_ty&RZC2FQ|kIF-3b(Ij5ZKH*~%drxhG|N$&FU|?JD5uCI3tii&DHokMSfujxg(3CD%-KWi=n~7(<=GSECxl7yPhI_G#jMKDq~8k7|0=keqGLC~ zyMS{MMB5@Qvu##VGMpjkP~tW@DP<~KQ^lU2Xk)9@(=q7|ZaPYtDot?Z=AuLJ1}|lq z6SRP;7p~7yDZg2kUafqrXtn@rq50#36f$Ous4WSfCKf!IA#$0*hAQQn26S#rj`lX~ zQahrl5BNoUiZBwERG_2Hoq-q(xjZuz!+}kbkmt3p61hrnMK7zKOK=neZJT;2fYpO= zVtpw&q??NfM^O>psNxcvP=ys-s<4f+4{VY-#k2}7sHY3!a}#M{cu6jqbBOED*(C~3 z3M31kM^4T^14UXzkCM7+?FhLVlWYJ%iNqZDSL?Q?_|eE5KJ+Gz3$kw=svZ4kwYnZMpRDOU?uBZlY-E5dJ8j=-#u zB`^b(h3d!iM4@E?Lt(5!4WbqbAiRu1znkY*w$~MeOcCi89l2dagFtuqG=2$k0n!S`pFm?`&L&vyCy824TO&; z5kfGGe3}O3E3I!cwjUvD2hgPjjah&cI0{^XWhz#bpC4piq622m5nwJKH2@mz3(-IlS@G%%U>^!qW?d#s<0xm~W_!$WxGTs_+qy%B+i6TAf zA!+w{Yc3@evqcjwQ7U52Ey*PDL}Ar&(`eG|3P9`j2EYVs-iM+*0#J(r>L*BC+DZB# zt>DQvO^4o2QVxL`Au_dxnl=d$Bh_oOqI;U8Rr4)5pA$n$wK{wEYfAiu7l&OrvIcqm zop6T*-(=M_J*#5*UXhbGc4dksU*r@=JVzJ1RVEcttA@BMof7HB1Fqc_17KHZEj>x9 zUMkjpaF5(HSa*LrZ%fC`+swv$lzOenWg~C*k%5_NApK)>`nu~2F;m}S!Me42<+?co z;kqvdIxneJ)kU+?;R?0x1lv`QdpLsIs!iaIjKa@)y+071S!TI``AgHB`?H;kdWIKc z90t_8Dq>4NuKB7xs>@BDs+U@y{V>d}c=6^*_49IOW|e^KdgqpFt1Q;N$MsrqQk8X4 zMAaLGUITCaRf7%h8k^{}wj#Jgl;*m@>ZxbZ_5-Igwq?21imU4Suj<#lo21gDs;{YC ztW&*JIq2H;cHoQ9gMmtc^f;G~tics>`k+w4jJxrNKs?pY(2 z?mteqnHPVo+vNuQTotM^Rt=1(ob%ntcPK89nbCWuv*}wJu-)NNU$6w0bkF#v+3f38 zOy2;fb=*(HE#~o=-zLpBy(`)n4at1Pu-XnwzIeaeeW2G;B+6m`vtUc-lUIzsU~TMp zty5zYDlQ7Yvq9flKzF}ljKu`LaekFUhyWuoW{>4pIt}D%&Z&T&dcKBBk#La4BQ z(YW^0xR4aa4B>oC0%7NJbBzd>C|}|JR`b%zSpmwo@7Xcxr&)#kBHX8c0nxj!aN|4c zzsX;@nJArOM~IBCf1DeRvz47&bMo+<$@&}Y7t7jjY-Y9t`q`Z$a-lXW!T}I=L@0g} zZCQF=yh;UnB+^aZCbyY z-wO7{w!hURO-KN-JzubU1DTu(;}UuAq6U76j{lqe^vf%${^@(!h0UDRg@WvH8S3s0 zFRsXt=z8tU+!vr3b?UTp2$)--nyd?L;a3Ge@Mnf8D%LNdqtoS?ojLCm2($%P(L4XR zXC5QDJQ7pdqIYfP9d*r^Oo+rJr$K9IQ!87;YLBZXnpKgS4qJ22+`=i*nM;EIn7xCQ zGa7e=BU>+iTkTEUeR}>>+|kg*+d)qoKe_FUo{tJ%gDa&nQ_r4U&yf+>KWgcG^Ws5l5BXCQ|>99;c2`QirWlPM=h2yy@I5r{F&++^z zcrQu5f~k(;GQ&{mOd@o&zNl%$C!m2%@dAGkHM=RVMJDO;D+oK(&z6p6Xq!@?BaSIs z5!Kut8>B1J(eHly5e|}f&=sf36bLIGr5!da9uu2h*ZAmd@Fs@IDNi(qLR#A41m4wnr8j!`1udej^-{+?Sc3oCs^}ntp0n z^@9k@L6ivjDAk}%d4Lne3vc$+#H>Ew+*AMen$Oy-$K2!Y(@-UxeWzVzh5}@k7W?M_ zt6-x0w-x%nA>w=RvxyZERA-uLn6JHC4`9fS;4pYZAt(72bYx)2E<&cFIYx76#Pn&S zUT7CWwkCSBwSqzhXU0tHqcM9iF1$i_au|ogTcuI=BNn?qLpvY7*$Q++yn&)lnJ4bT z4`Ma3DqQ#(dK<*VC+Q~U^-hn$5}+Sc0G96W(h=pF0z|dAnG0q=iJD{PTlx}r*>Y=E z^h;i-ABdZ%O|+GrY>$QdMG|WfEu=d_)2H{45D#M=BVq&4+5-Qpl;N5Nw3k8;kyLF- z`Z`gRG)Lqo4MEgF+koCf%tBIys47@tfB6c;2~;gp88v=ojwxLMSQ4n&gepojH&dPA z_;T0Oha9Zw?G9Zy=esD~Y(@Y^8XwMqZsnXVInZkTT+ zRPc4P)3d9?;+IvdSL9R0>)dMqeO?l)h7C4aegK&l z63o;gh$cs6q`(}Wji$0pvSZ2^d|X56yS!#LwCM%lwu-g-WhBd^ChB+`U;P6UGYNBb z)}>G@bx)GAs_B?^wTWIvA5n_FLo}jKx~ z8$+A7jb|0Q;G^;1j%L|Gsn3gv#xI+7&zPVHY7Y#YsS<=C3K^Sel6#07$<5R^x12m1 z@%^lLexb{d*CS8Q1xM==~0hpw= z5lJftX{|MhP@J<_8RP3mC`XxaO zQUT+>=oDzlYOW}XSGigCF99iqxss7YAybDfy3$<9nIHS(IVC$zNCVBQG<>sjFwKo2 zj}M~K!pe5|J~M>4-uS;vwt@ZP1A;qH7{SVxblC~u8sG(f0n{m><(XELN|AorQRp^a zN@cDArp^?*U-T4_A-alz@G|;hz!MgF8EDD|=Lsii584@#Seizz1UE3{Fg7AZwmh0k zi@fkvn5RL=Kvko_HbNrL%sD-~&KdM6Joh-b>WT*(wT!myffys>u~X&;h9EXDMHn+% zyGvH+u^=g}h}V^zmXNCyw^y9QRS49aB(2hhnbNvKQr*NZgq+=(YzSqejwkU^{ZsZu zVb~bQhcN*WitS9^D{XAtJI3N|8y_P!FtOC^{Bx`#x$)hgGiv0@?(ULbSV}m!)+AoK z_f1|eZi!)}@v(% zQ9)~q(Sy4rxL)94d=wrQb1Qg)KrA>n1I!cBa7`#m5cjVlMw&=B9g!ovjdlxQ&HrC> z#Rw~t#9s4sj07nUGEFFnBFiM?L*a52ys#TmM|c}C!BkdWQo@pc9ZQ&BM{J9!=m@_- zW;Vt+jnI|PHy(D{fU3wvT~-;|YDIeDG>(u#-Z3iKD@QkzDKou)e4>3w>uchrIhzZz zE(uzux~D=I#qcYW=0;)7`_}mr=4l%12qmvGeT4LEV-$3W50!_N!}@8ur0E_v{rwrO zVTwJCoeRhNa3r~27&zAlvp^KiQBD$py0o9*98_1rp&~&NnI*LT%2uiXn84WCXXrH{ z{k2P>N^t8QVReNXv^frF1L44PrPM9HTC>SdBK@Q|_k}x0Nj0~Fd?FadR!f5G@BGqX zKFe|%W)eoIFfdn^dlOt;Xx8{vePTvb^YN3QlakcylPNLmIet@rsb zCCw;8D*FwYatBMp*Xjs^rZfTZz)QM-pwbF%MKRT^?!6aOg{JA9f;kW&;fZRNe+$$l$2%MI60>Wv z!EeA-Fc*l3{{V!igyQcuUdPf>b7iq!WdhtuK#GvYYT$uAgd=3*`bq`-HF3vOoEbE4 zXhNkrLtx*95JoXdY;+ZMZRj3w<*WOm{r@8&hbO+2SX7`Hz*`CMArQi)&|yO)#U3Ud z=nWu3>vm!ll|a;BjuOBTsTVRDbI@pIx~rq=nWrW6ofESgouO*2VDWYkHAK6E7oiHz zBKi@ln~p3s`Yut2^dC{K$Lv9oxVk)q};a zFE>koqYaeb`E3EO)ff!3??a@KmgZbjG^)lcwy z9ru?zWz)kQR-~(DcW=IQ`RMg|*7a9M%g`15U%o%T&__>uVN!1htiF_3ic{g&4cfjt zIW8XaOxFo-lTy^TrqL3J-+umP#?_>DNl3k=HemZp-L75~bIU~K>aGdF$?}KqhN&Dq zR%1}Td$cV(){&RDCqHVi;Qb=pG&eBwV`P=|!ougW``vk8pBhwoznO~c7(m|d9&QTW zav#x=y{WE0Xkb*ek+)qv7+ECn!kW2U8>AoU;@49*kd$j6mF*`MIPZ5O5b4*}Ddpx6U3nOz9#`Nb z%UsqoSM7{9_f_0Zh>Pj2j`{FkEp^H7R=>BzZPTDk3AFJT)QkQLT zweE>;(zQ3G8Kn)^o|d?;2Cmq@e?93|+#mQ)2G`xAxE@(I?;BD%@b-S|gOf_V20C^B z8Jy5xs#n(kR1epm)G6_<42;)Y*AuRz8;IAje3Pb{rjkpWrJhPgO!@V7uI!DBM7#L; zbjo&QH>0~3cwgsvFD}_xkRAG|pnA(PqRB52;*NrrHXy<3G8kKDXs_Za|6f>e$_)xEkaG8=vsFx7gZ$!fHx>j8&F(U~eYpj&* zRRqq5r6(qk(_G`(sYQPGY0P7>{yx^xD7nNa)S*O|G-3nYB2!FCV&{b5)9+&{J}nc! zE>XN4a>ZyoW|PsX48jA^)K8(ma)Ji~{uQqzv3$%>CDQ zAEdk9z4IIc_M{ksL#YX2RmYn3eUdCtHoWG=7y7eVhn9D4K8{0$TU)3vMdnd2$R>_E z3gZGwEQ3zKX#ZuRXdRN36*d(XZ*669ekg&Yz(hG*C{6|!!a&F#;cTK3@2;@N-WfEkQR9tpmFM-U+iiQy#psazpL>h>)^^eET>2o zANwZD>B9v$`RwJayAtUq6YA2yBHpor4y23@uqC?tLbzTrGR_>|;ygx~nsbOPOFn(~>tu}A7r(2WaLpfX z2@k&x)(z0R@(TsrxAbg3#SZvs29{iL{8icYdSJLK=whkW&C5S52%f#Y1A4&m$m@Kv ztM00KK_}RL?SVlby&N0^>#l`$42(kex3Ajcgyl{5K=U?lyO^{Aw)x<>V(+)PAL|C& zGltv;efe#c4Hms?RL6fl?5U34xLoU2w5{h`_n&WS?p|OJDR98=n&f2; zcMSG*%DN_%vsrR=4JxEVZZ6tx;$o z9c{C@&(~?-`}PC+cTBwR^_>^XO5!m#etR0n)8`*1oFCD%JmGl{_bFP{?|q;ngs7!{ z8}92+{M6FUvTjyEceQkJ^R>X%k7P~rww#!>LIK&$ypMypjDFea>gYCTcy}m0Qe!vI zT>Ry{ujI#>hs+$)a}cD3rnQ*=vC+|;KbY60Unr9|Q;RE(9JtcGam~U{%=6}UgS+>p zB4VSX>w#I|#+S~$#(9}y^ox?>p}>T0{eer}UkBFe*6h0~JqW1~+-v$>4RrGJ2weOa z_SrG8Nj0Wp@aui9?6QvRs-6BqeccPU=!-etHErP?gB-KnmwStYAIxv+{87g;DDKQp zKV0QW824qxq=i>K@7J>ucO#fygG!Lc&*aj#*W$0$m4;~yzV6O17}9YoHZJYtdH1aO zmk521)comc((C;q-KsbGn%{h&l;4_tccsUtxv&Nx<5I5nb17oc=0Xt(1FFB zyaCr1n^#rU0nmyh{qTVy!*@#Kr>fh+?V&(w+XM7}Lcu+ZyEq927XbyAvF^GyMtSa| z_^kf)_2E1{_vO5SYL6_7pO=2@HcJJP{p`KJh1(Z$bv!>AU+df&Nse3k{uF)r-OWYU zbH;6f@`bC@?82J?k{|DA3TQ@s-VYppT4M0!MRh^LKnhfWZb6`)Ce`E;(gFR1`zM=T z*W=b%g9T0g=2_nB?uK=h2JpIml!nrGhUNh< ztTq>+kh(?tsa9tJSC}A};L_N&ed@nygG~9F(_}(e3Z*X-!=3KC`$`*b9Pc|}S+d%|N8c-jYsJT|cWuXwX4p)ce3#I-N? zXlV?Hw5KRw!@zk+wIc06)SgR6*8c|LAVr2p(-wpG(s&5Z9>A2Hj;i5NqNyU2qC?DK zu??n253EF~o!JE#@*+|geAH8QP-}eK<9|a8@MlMJt^_s)gmWWOB=J!x^8RXw7^^Q# zs}+w_pq}{=A*&16$&joCmEcw@ibc2@gq9f&7zT%-ks}2K8=}h>AskUuv?-gA$TkaO z*fsGi2AbC*PBFEhX2v>HsRE~a8Hp-knjkibr{R;dqqJK>Zb*ptt|%hUpq3af5D#HK zD*iBQWiGv&DU253ihe@uJgYcPjVhZ577M$!XhL|!6~w@J$+bAc?DCe8FHgq5vnH9s z{Yy_MAT1Ij%f(RUrn^N-5Ln^ImiL7tRNg>yr#{-_mt<;YB(QJ9BP6q%QQ!xlhvJ2$ zWkb6q9HQ8cHtGW;KpR40UDkg+fRTh+m`sgX9}xNBU&JyX7pgdch5`*+a)tikEN2+D z4BvnLZ7$Vyj zO)RV_d_SLxwr+ogu#G%--ZE6S252KtSp-KANl3-rKgp|rO{&tRHe$zm0ckOt_8ew8=2i$G<|RZg)*k8L`c zPz7ock|Y|V>Y(gS%!U-dRzgHs?&2AvI4naD|Lw^xQDcbdfkf>;N{ixFtMD@qyhZ;i z+z+l~vSM{la(HEb{-epL0`YN&xyldm`VpBUgr20fghnt#sh<4?MlO)@6z3A;dk=bJ=Cq!9Aog&72o^%_HHlc8=Zsb5VqI^R`9)VVS*Ag)3Pv!OpRRy4-tyS^g^;8Y`A}tqt+1VfF5) z1bV9L(Fn1scg4pB$DH_f7f*86jq?V^oFuYpID=!|b&DCL;kWgx-u)0=(bC`X8&h~E zkX7n>+qU=ZnN$+L52eUYvR2unpgdNPUezYAxQxcIMOJJmQsT zQ}ZQuP2a|fO%V94`4e7);WWed$|vAP>}Wx5=L+trz*?{R41x6NW{KRydKft&)6Pl?|(Uc3@W z=&k5g1g(x*I~AZL&UUH4OmN9jsFM|%P?aNFJ0{d{JyT1E0_@J2@cy;NYG0L<{hRQ? z+E4qT)G{UvOSkN1CD8BLD+&IM++@7Wz5O@i*gYb@n^?M4GV-n^iKWZAr@`;z^e-W1 zmXD{5K@KIouV%nn$8Zl8UH-WVlfXyK^NoE+wPl=4y46)a9nP91JhwLEeagtuK2w11R?QrD@*@xnF8c*{%dJ-S(3 z=8l?HjT6UBLYI>lN|PuNsi;5puV{}JD<(xs4Ax$H2VV*Pi!MQyn5sNn{1X#GG;LKr z6V>*0NUyg<8fX0>frUL9ky-GWZKFMD>+407h>=~EHs=Fi@}S(v1S(T)%o7Q{|i za;X ztipfsZioN<>&!FqpT3G2cZ&>fH;OP~qy`Et2guVJYo~pg)5gaieaSuE)ueNHxnzrT z^s3nR(M<g=eE+(A%}%I1c0t_XC*g!w{$WXO+Dq8Aq2p6+7r6;mGYyJao?3A!XYgn+9Oc?FaEhTkLIg~t!;{QU%DHH<$wB$8)z zJF&7cVe0SwY>UOoq_du0jYsMKnKqz=>80Y#LrPX-NpE2fR7V_U#vW9>RdK#&TFk$2 z%%D?CpQFI6E_`ag={}`)o-iC^^mXx@&SsjH2`A5MdQv^%H5O)6VD+jty32oJvEO3! z@R7#=;`FW4Oy56}XGM(Zo9FPa2kizdFQKY;?TW`@-Y&}MZ7!!AQ2vJ{d{sKGbdVFg zV03TT%e6tEGh?KKVtw)N{1#202RVqXmqEqhE%o?s)1ym~)ahwBXZHTdFY@d=Q|SJz z<1#{7o%1~VjKTQe>+F3Q>?=k`uby~eM1*huRIILK*J}RBLVN3I=~puO_N|j^YTtcD ztmUs24sori$;-a6>8k^&qlL^rqnu1lpG`j;yybGeL+zYiW2be2y~1MD&AO~|z5HL7 zW(=wg`}H0@Dij*^e-M33Z~fmu>{G)7$wR)c7#62Zk9I%Q+;}t8pm+VA$&lK&T9ozK zjdGo|k-ZJN%sb!2`rEbXcOJw@{liMXU3xvj;rl?vSmD)o48wP(yT(6<7mcW|R|B)c z4>lMTP9OX8K|NFGhvC~MTKnw7j?N%ngzl5CQ8f$K?8-k%&-dx}oZOw?_<(!rd(EhJ z+3d{7-jtf2ImIwLtBLq+nr|sm{h!9qLDCKA#?tGlp;PCZch4T7Ec@yR4NsY&uisSQ zzpfMD)GqX|!G8_Q8T!@f3-wP7mk0Al!XCt2`-gAD%Ck6#5GmZ$aWJ{tcTbk>Yi2)8 zOaAWr>jCSP(+!nBsRpEoDBu{I7Gx;CI@dL9gNWLH%I~5AguK$n;6Qxb(Wu zvj%;gYX&bnCv?7a9`d-ko7NVb6BXCXIC$aUe#%1e_WiJX7|(dW6^cd)-b2tYp3wmn zVv_#{2H!o4!Qa;1_R#{Bm@R}bRyT(GD2#Rsao>tsv^w6Zuj^5S5maDXn+fXN(?9B; zIisxZCC_I)qird5Hz;)Q@=S76#2@1hr&n+E>ug$xY``xtk2Ip3$!3^)Vb_AK_4@rj zFoe|4J48^QVCK=K$W8|C(G2<{Qcl91b@RowtTcrxhc&n%wF%dSOJJqM&`y1SqJfN} zG6ndZ#I~LUSdpL-(nYYc7J=Yz6+MYuW(cKzN z`VU==yl3gk(vv>{AGOq5iB-rp!sOkdNhZN2RH0?x(7xImBw2@_)(V?DH}7hsA+0_|#SGx8F9h;NynFt>aO; z50qV5EH(Fr=cjo9mJ-Q{Q`INOmQk{UZzjKvb@%JPRYtL+)g;q11F*-+p$?{ul9riB zai%#_*ffpg)0vIA(paAq`SNILY(u=y$FY+TFK>jT`Z7VhA09SK9ALWA0RxGMGRW-Y z&zYl%lq(G@>(Pa+(a<29pTr@aCJoRO=A`L->h2RZ6ZiS>p(*ls-#bgRywj=*dIyN5QFd1Q1E`b z(ggZ&`y54=F(C?dWE%bltQP`m5k8ub5(7WO24rCmm91fDg;Zt@B<4k=+`6Yr*Y<~0 zAvYC&$dosHMV-ze8o_@OkM`VnhC(f&&76%<8QFviZ~zzp^MKfRFW|k!fI&8;ogsvc zfD}xIEH|v|81)B&KK{f-6HOYXBaUc_(I+8I)$FG8_i;xsxJ$Zx;?*;_AzN*R5C*DA zhsTouEEoj1fXT27ruzMaQ*<6sK}aG)WZjVv&7r8@jlS?`>Ah_u8?*-kz#0^lrS$lTCZyE} zIU!0kjsEYeS^`9R^Z(9CPbwTKpgDxNSOge2XRsQf&IU7wHX;MhJ6$8=dw?Qy*4U{s z=+HtrN4R&upF|{paI3T*Q8^yHty~dOu)- zS{unP+Pi*rqjyFq4*bCv0Yd$tlVU}jzB_E4I0?+2J-Gw56A0NA01mB$aE)>YPvbD8 zIgb?8Ap^|-U8$$UDphtxd`&(TkOH{i93dUGGh*c{`}U~kwAN8X4irp}s5t#29q~{D zP46SAR0|7?t^Q*2#-BuLq3J_(#O%3>6@Dy@lPeA8y)w#hhPu;oLZZYQHiah;2|e4x z4i%LP*fSi7QRT30QP1HgTiQ8C>1#xfNJrI7;SI!d)2(@$NVT#U41X|Wgl0@?g*5ga znvx*&O;159T{jYH`&fLQbBn}&rpkG3EGZUh+xqHxx@1ZRAE4iPU;Fol$t6jKRCWSGp)VB*C%%HUfvu$^5IlH$YJCyKcJGPKQ!yIAJclI{|l z3j`m}N}lNv(jEiXos`2hWr#ht@!8r2n%$6lFKKSX|Gcn}honStrNqL{ zy#i?F^Ny%7L+LmtLfReFd}d@D^riOIIa`dofU2l;gaGOy30Ogo`oE8I%~T9ks(}R4 zSWFZ~p**5&mpIS-+rr8Obqhnu7g;A_;Jw5)gkr!B;@sSs0h&h-lXGs4^xKh>wk9g9 zqeMq^0}Wy07$6dxJpaWh_YgjaBZ)sHokljuPUJQ9fp{RiEIEIM2-8ss7k+G{-byou z|D;Va6$NeJN_GHAw!&DySA-52u|ps*rlnCP;KC(l@7u?}XF-0>YDnP3qTE6OFQrsm zkzzX}B*w^@25Z1sX^;udwFhV>r;^Qh@hn3L2p+Tf*^dEha1sjGq%VOuJo8LGYmHQAIq;5s9XN7GtoO1}+uR-jwy%Icc&fJM*|@;L>S@rWYf z^20yPUx`}+6O=ognTYlUnG-8S5lN*QW^Bd%5&3(FOu&StR%S+CAKB%IlNF3l@!qLD z;DPmQiWOyz?{aclCM$W5Z-^3~3FaOutDw+nJrEY&!DebOWbxM_EL3dI7UqYpnlhIp zKOwtf#wHw*7?WcG4Jarp6^h1@$d);6E=h5MIl$QI67uW-y8$oAZ?Z}gc7vR*XAt)x z`Ci{SgKXGGWv#ZA67<!OxRth^Kg zY>@6G=x3g4uO!dv0|wLaL)8b~G< z(mFYQymL&ip7Yo5d$%6_2e+?E51`(y^XABvhhb@S$S@Sj5%CwA6-b5QRhDhlKthm3 z4}k|71gD9xDw)O-h7!p<170eb&_lTT#6N z_|b@zr_VtCgON2}ipWq4*qBEr@Yy@SI=K4*HTmYJzl_l#IK3(DW z#!S#OyAg^j7XvhxnZnzcvh*^Z^qfmJr5C{wlI9y3Ta%8Gl-_3OVK= z$KmU6&1Hnl+so@7H9#|t;y1JLEgGNcOu5mLs5J3aS)mARiS%3n>iR532~OY;AOmIs zK9FhuGi2INsw**6Kq`10ELtnE5`^qQn~1BZXJ}ItG;TCviB`^kZPJ7cZQr`zS z_$uj8#574INB9T=t*Jxjif2bA`(D&V6^)asR&HD6Hn73>EuVG9o}8q!gNxJWZyVJy z=7?=X3^Z*%P7fvuasyKKX(HVM0pqYKIy7{rGyetj$yAiE9DmBhDU2qCjQL4}B`M4y zrpE=SQ%qU-?)8k9$_qsyn@rh?odr{07>nw_x98o8VGW zKmnDkOHPx}XUCLLW{9yki>7cl0s0j2Bx#1G)Gqz@x19|X+a*FG%8-@BYJ_MWo@SrS z<9l`Nx~;lWg~eP6Te;UZ4Q0ejXEptg&?QP3lc~;cp!dMEtF?6K8vcqECF0I9bTb|y zwk-D;*Dqy3^wOt;bP-5bfhe5B4sEQO3^{lpu6O;yn+2j!(|Fd+HKyVU&dv=oW|BiV z4Cs&L=4bKd-c-|IUgVrtOoiI7$13L`kWL$qb(%rQ)cjg775GiNH6qYMgt9OdDm99b zB}RxF4o(t<$B-YAXBudmso@G0Ok-!GZ0M#smfI5!!uqVt+M#6g&VST7x7^+xm^5@L*GZl_4HQFpUrxdeTI5<-+7-3PWq1NmGahO-o) z4f#wV>yadj1#Pb}F9efZ1Obl#shc5WQd%GsMWpi1B{*T@(lXI zQ#SLJQO_XD8&E`v2DgbK4;AN1Y*yq$QDXxB{G{do5$^!&IqZjH+!TtdNGolI>7kCw zMdSe0*`PZR%L&B@a!YrpNk!7>KDrdN8S<<`rh@XIQHl>K-4jcM4lM5MT7erxHle1jmIoce;a}F>dzl!vz z0CaevPn#qt(X)K-l0Phdyp1^y74$>g=rRQ^B~b{Q3z@%Z{E#aU4fSwYnGY!K(6ujo z(ovT)OB1Flv?HnjQ;4;gIESSqyT>KiK-WJJTJ8=)vBqkmq;A~Nb<$La@;2_>ToDvk z0OigsUvaVqw6UK6Ye*)U?CQ|rWHu(VVBNG6^nw3_4WI}}XPa8rVMrUaDM+<}$i!U< z170*I*-EKhE{)mayY)*GnX*k3rYg-LR3HZWYPx*Jw~`L5oINQHHGs+yLT)N&T zC8x;%mlB4B?EhTxps3`fr^gVxn&(f)s-Z&9RP$mvly9+kZg6qV>?XF~7zHd#Fg06B zWVe?R(^iQ>RQZ|`2&*9<$L4%| zW}w>I?>;TGL{wE5&e&TyP%;aSFW^o9E+Es@fDN13!U-|Z!!{~rVJ$b*JJ)EV85KvU z5aV9zrSJJ#o9uZ|9ye&e)l2Ad(!J80wrk3@)XQ11EFo>=M0OC#-KpM=`6+Auho#C+ z%%~IYl~rujAcz%oyI~hoX;|24PjJ9GO**`EGIz)U90VqF#V}(y8q9uXL4APg&1bo^ zHX(fGWsgVeH+xxP7J8{-KMg&Bu9@aJR(R)UR)qnvQ>=wyenYR^Do%+wmM`EcUAem9 zJ;Ol1XfZ(VyxJF${@3P6Y_4)!h#kv7HO!XRi`HMkaG5l$Hdz8EQJBj3uR@-Zz8>lUL<&3U;mZO@?qhbwOZz^_j zd}SpPM_bj%eIKqNSpl~Ng0;i|&p^4+dALj(B{Odj=UM0(z;?4OFnm-epyzh&ZG>sz7L&*BALxqUY` zMruohvxk>4fU5^0cSbC5(+KJhb)YOS%uWpp$?Mmp0h`rd^`>Hzo%cn+-Dei?d^pJD z?(Us8sRHzcRjz>V-kNo@X!DLqm2Lil{UwK4r$=_HaId-!xOiPmHC9E~qRe_9@V=bG z{eUCmSaEOcH|zsvYl_3!TA{G0K-!p!2v#W2mXCrz7IDF>wZ z8O(b1_C+q{66;nrH_}jld?$t#N6BEiunr%xe&V%P&{`Y>ZL#yz9Qt~G45u(pXz8^z43mc>!g0b6*nl)VbZ%p8W+Ih85HFtnAj2iS|!m1!-ppU zzk&}Ts%~6NYDuTX`CaJ~sSJBfOydkRyalW}08JKff0XJ7!^4#WkXuY6wCZPVx!3YP zD~V70MTSczK8|P)=o}9WG514;^8vEiQa)F|svZZEJXy)_frPbbZeF*}alJmBv3wAn zN*AXaf(Wt3&+^883rdm_0Mw->fv6=t;Ktkqk+A_3Sob>ijGo#kOmOeT2=J_!)F=Ud z=`(=tZ&V{nc|(KTLT@#9J6dP+o2Cbce*)nQBeY6TFw1OY;qJdxom9QEO) z0iEiBA+tb?FX`+po#TKgHLr78_fQM@Lt;|IA~4Obn;IW=_WA@39Xo-@Wdq>$5}NP? zh?rH65J-?o+X$coo)+!n`cIQ7dUG@jE@2SWelvA&2@to^2WV2bnGi&?#feXHUT4D~ z$C%zXK;HFel#Do8kA6k;(RJFL3-*R~A;y3k4x)qW& z%CY0pXu^0tCmnEoGm(l)h7rXlA-j-|fEr`!yC5ZUJjtc+d}o?jLRN$#puhv^O#|0| zOy`Q;oBiOY(@Kwai+Nw<)tznxVh1{aCVHEZ~vhT9N8|>6bd) zz(e8!^+3eqgy4wfP4kGAI?s@ua<3s?+)YNBM%zesK`fv<@<+0F0uCVfh?sqsEUzHWCrUO0RjV6vrF;MrV%*rW_er5hb zui*qgvbV*`!4iH`^pj9U4M)3M7@|&92uQS{psd!fFrr4KPv(Cw&_uPhR>{cB0%ANy z;G9(Q3q4bv8`m1vRmC#HE6pT;<_|!|I+!=}2&S0lI|1&M=$MXPg3;YVGF|aSHKIbB z@ky|;IAW3c!~*L@p(3@B%k_M@;K)jrpvUDb$j?Y&^(sjujh1zhbEw#$Bwr}g-1Lh` zHA!8c_6wo5;4{^U*TAZ~Y%WTjxomtX=Fd{LPjdGQpDqxd;;|E^osy*b0qzg6d7*<1 zQ_xeps^AmK(cYmdgutsNA!1b95PYg;h-lSv1jd+WCPkQv?};MMBL5hVmhf>VFNPblAk7pvC4AX?k?V_+ zUpp0Ej+X`Wh6;5Zl`x-rq#EPMkk4q%(6mzYE{2dwN~NZ~A;wU`O7-SEkT(Woi8LHO z$=b2fjZsikQ%CqgPPZFTsra>1hq^1%hN(VQK&o{ds&C@!SXESO5JXiz9q0DrVXEy4 zHEJ0;*id>KnCLr!h5~_WddHdStpu7Bd0LZbtR$IEw@FQ1j&%UNq3PseWU=67;h4O{ zFqkOG5S4h7p@GqpVUf|2g*#t4k-Nx<1%!Isd^bMyT|q#J@=7Y#WC^U8is2MAo$G$t`+!1U5(yCasg45 z9k*AVw

w*lOjHgr3nZXZZt_CGkUd_ohcVyw9Jx&01Dp?ijNDYQg z2?rF#oG&t(YHI$%E6X=`3CLVvTU6zkL8Bg*VXhCiXDCcn{gT+RM2q3F;%60*?sv^* zE7`l&{Fcebk&97nG==Wuc-LqEznz*=+|?kPDi&lpbIcqr zhw}3$x1xVci22DZTG)sa>=TCYd%FCCf~4_DWg9$8s1=x3MTB3MCzJm@&k%offf%1a z-R+Zg=3Z4SLDSKvke?&jB9|fsB43<@L~b_3V;1s@onOkyY93OI8oD`Os?A)!SmM^TE%^L^Vst ztwXvaNG1chU}bhVRZ;sJBh{ z93~DWNF{aX@#$V-*O^YD*6U#6H$79$OE{y;>k7D%zKFkmD&f(YR6f?zGYDNJ*Mt{< zqU;h1h!Q@*G{+#wM2UAAKwL{XU$>dhfFH}7LCD~vQ)Jt{6V4`bN`|C$g*XVX3;J~I z^H#%Bbtp`^b%_3u=~qwIctGl^L@u{L11orwb$6h+0=jm|IARbB>p&f8n6TUoltQ{C76B7qC!Yik1$J=S(O#)mZeR7 zJXfTu5j*YEYi=EK0Xe$mPM+O!piU^-JNCVT`i z^@k=Xrc-rdk+dk=&V;M!Oi>@UDCQGetFHoaBWEQJ{M*QJ7E=~NrZqIty8=OP<-~ak z<4+>pP{$%a@zd~`GaYIqHMY~UR4dcjNTq}!_5~8Jrg4TCXg~v_#?v1ENKETU^bi1r zjB1P`TUp}38n2Occv_YguvhY<34|yjsYn29;ij|*DfCsk6lI{{ymcpQn=9Y;eMm#( zo@)ksf5D`P&;TJ{Q@v)F9+AN8>3!{hc2gLf_jvvS5 zf^RbT8z5bE(QAb?(Obl8tB*Yn8`H3;W2H0vkBJ#+r9lUp^*^QnSz2ra#pZVzkEvV? zqp}t8J^~k=YC zCW%z@&LpwuiDn)V6lvuDqSxSI)+mu%kwU|Ef#n8nE$1>BV+2+`nC6 zq1W))L$)U!Dzx~uH->Z?9>!`7xyNgj@1C|@xM7rebb3FL@7Z$xTkW-mcml_iueqOUoH6K)s8sQ@QMkknNnrECOiWCF zJ(%#>adG*4ZcCu!kl`?CSS=<+3?IwFEuAz6{Cb^@;s#vM@iOhvU@_&O_F6X23pN^| z%5VcWAg2wNvQm&u3*u3TROuDMPOAPFC)b&)HhLcF`^dTaa|AiO+BPm4Z(f)j>C`&q zkBlFo;M?gSR_(yOpfZFvnvlnetggFJ@I+6bpjkY+@_ckBGXLtQOJ@j)s!=13dH9uf zooFvS@}a&e@@?y|9$e0HN7{qGd-8tp!E_`Hn1-V{Ek~X^S}=g?AAkS zf?w$Z4~FREMq)uSDwP9IW{M}?B=Kj`3-S{#NqIWTxTHtu?m0#LUD zGCtRmeX-?V~;@*Wl-ZYr9?sC!=iF@|~D1RK$kF(a6jgvht zy=gE#qMu}~jgFI50V*>i`f1kMH{xW&fXXaRc2?V|vZa1O`*vl^iv?}>%9gGu$zg0M&4t5 zH1@3mJ@Axtk2~M_AS%P`C)KFahph+=Y|Tg?{bd;-ZwTNFrgas%*V=T(|UZtl^X zM&Yqy`KHIxW|#_zJs_0XtyUy(1cc_79T*TWks#Cn5p(a7PCE)`Y3oVs=!tViTebPZuYptRlgZ zV?$HcqfT57`GB_A$VtdyoNCqX@@qZ~6g5mG)b3j(E3R8uBP1@Ac}dpSYXV`X z){+fyT}e#$8j#xv4CsqShn-`0tC2oK;a%?WH@$OSjOW;U#oOPmq>z7Fs7Otfh1YkK zY|eh&=pg5qgSH0T`TcA14T0g(fMFLMMgdLPEvJjHkJjM3Y-YRGc1}eOyiSe|H=Gdm zMz7xXy&WRGUan%qbmNAkHHJ9R3&ZpxyY<9osi?JKI&?tK$(|_ySsn&eY^+?#yeD!J z?Jjb<$G?I_#J1vi#p0$&m|v_sv<@0wTwNSD`@}A4$Zb7c-OEkpW%bKgXk&4Bas6zJ zT_ao6Y_(B1TfnT_MPKx(3csFX6@e9>to?gqC8yx~xC-e&5|GG7W6}PWy6hIRd(Kq6 zb=GO7_r3ZC0l_X`PxN!beA52(U}iyAL$<|vy=3mfhE1VF4!!4k4<>;Q_Y%jB>jU)M zJDBOru9&GvU z1qgj!tH*C>%=I2uqA?KBh)MaK@2J#p!5JVwk2;{TQjTuO-`3d#Qclb#uqO>B(DfU& zJP3N?FK~?DA#hUAwu4hJ4WHl_b=+~{0`UUs7GR%p0MtackStN*HD3XjAzGUoN}CXl zf>svPUCYw9-6y*JPu^CVg2XrT4mXW^`9xdWJ_=<6@_oEUHsZY=*u8yJuj+Fw&k&Fy z(*PNA5wJuTAa5yMgteA~Vbd4)d293a@Co^n_{#h|3BQXjNEfBed@^8rW$&lL&O+4m zcAuBDyj7(?hG0NDUUfcL(+J zYE6!dh3*3@GoV@(iJr#<(Sd%nb%xhd$D)O4v~M~rg}w0FtGdjZ39`L3b7o;?or{d= zYvav6Upn-yR{Oz}ZnCHT#A@Re$IRI#N6mpDwEL6WILGWYx!K!-Jr7>1sh!PUJDm`1 z2ROAzjwwB_E9j5bmw)UwEdR7_QNDUs<9VH0OI~)1`KD3X6?@UQZT_k)Z%SDa z&59=lMMuSpHCtL&ie2?9<6onzv5{FV@t;%Z1XX1ha8 zHLl&crusqqoto;bc8{9s?Dl&#)j6SlHTk&?zT+l3QqYtU9Vyim6J060lo4I2@Dvk0 zsp6CoJ*k%|Ci+sdDI@w)G^r*AQqa^911Z&16GJJx)Dc6e@Kh5csp8ZTBdM3ECdLh{ zmp4;mgCJ~au~87owAe&jYul(yTWkBMd|PYBD1xoE6K%Dv^{x7#w>9~XoKIfji0%%b zuE}q7j=aP{><(Y9$!~T(Daz5+9llYM-{Kru_4JkcvueFNfzv zH(YzC$9ze%;5!N_ZcD z8VLChK&=GcEZj>%_VvjuP(Q&k8#G3k%mz&nEOS7MgvlJx8o@Fbv`d)G1yK<#^FVaO z$vhAf(J~(dB2MOm_=uJTAR*#p0Z5!^SqPFLP8Na`iI#YfI&l&Y(j!_HfiT3$B9Il) zvKWLVP8NgQh?XTFAL3*QD41wj3W_F9mV%OqmING*=pPqeip?N~6S0qp;pNy7VsRyw z`-+MQJjPJT6rN?Mgn?HW>R;D(8Qd6RHiN&QfQ`}avVDg7H{hd`JI1H)?VHSWKf3VX z>Je%LLk!`>=Gxg$v1)O2)8^FAHwSmkqBG3X$Co%Qo|=x^+)A!T4@vz|;d)a;=qHc-0=zD;^ud>&J~3Qi@p7e#5Xqzhd#HEVht>MHm#>19!@24}j=C2zCc$JB0u z(@7mgi5lQ^^-Gy%GL4~bf-^~7MX4GW(=nGC&3qacW{T4vn7jPD0*Cp^D|<)_z}VlN zYF@eFR+KK>Vzw}&E^7Q=gwUeH8*cv~{oNuhZ~spIf&Fs!pGvJy-X4BmZgIMkPvieR zNi%m@nE40!bqD?3tmbh?uI^2c0&5R?wy%s&Xa?4P?fHDAd4d#ByW2B=<-vqzK<#$V zhx8UvcZ;Fc82`~-afoYk*^YKU zOur#oYi`sM<1@M;zF_;NJ1<>U)ZKjONsRaCn)r-uXLmyS1yKoeqbD)?56CF|zwJIXBDSYipZj6(e)18xBK-KRR##7T0Qr$L;4cAxP3BxsT~5& zOdQqeiNqQiNEG5O4AK+qP;h10|kiOi`63$#UYSPnzH8PT@#bpoDSJ*ki^~**vJtNp5qu#fR zqt|6a%IsY!nw2>{gAz?XM#jC%i=#JWpO@KtQ#7l%KB@4FA!vVG2gmMXf@D|#Dx~2Gl1g$|iQ$2VBtwcGs;mV;z6gC)_ z1uaH7D&k_G1t{X zTEo|WSisCUq!t>+8A<=9u-DKPFZzSj(ep=w&r1y~Y)y#Z>BH-Ce#(0^ZTSEExK!ol zo!VVyW?cG6gVdG*kJ@p!zmnJ=cQfMoBVr!SC92XpVXQ%&cJd;l3ExBI zn^8Y81wp9({{<|a4f2f3bEnScpXWr(os?1QlMa5(=<#Plxr`f3*jEjwk02P1T_4+7 zdU_=yTtR^tL>sabX|z$es5Tnk#2qFt6qs^Qy081Sa93?7ewX{Wg3ymCM+istsPS}2 z_5>N8Fa@EY6e_}TJ$gI{DV^ZMa~vTQoI*{Y)nma6Ax#sSc)}1uAt^M3(|VkE8Kif@ zF3)jAq0p3*1V%kDULBd4Aj2D`C=`}LM*xEI@EBxcf)DR;C86*X`W;E7?7SuF8?bp9 z#4v4>R-b2ZC9}tGp(W~;+st)1-ZJ)@{}&Q$cqw~ zmEHUxeG4xCaR2Ma@i;VaZ{ndD!dpK*9O4|i`~k`m+j>M%!ULB-K%Z?lFR4_*F4NQJ z7_KFe;vg`nQr-Z266#N_jyl%qO%6%A*GGfV}S6gE2TsVuKZ8-0&rcof(>QbXgwB)pt^oaseqwwO@W6B?R`Bqh=}_2l*a;|x@!d+Dzq_r=OJS1 z)+rqVsk-8Y9;&pldLRf~-6>^6pkCLla6^?gPLC5Jt?r&OFEFTEQ|O^a8?VO&kyZCi z*%qMat`%;m(I)8eKoII7DJKP4^~CWWNZLd_K8T9?{gm?y?MRraj97nEqE?KD6`q+t z`}_jk34z~0?fSy zko$Ef7pINf}ruS+jr&t-c_HbM$kY12`5l-j50A&a+cvS z+p-OlV&IiK4KLllzc}8+XH|Up)ywtu&{cb4I;n$H%On{Y0Ku z@PAlWZ5!K_bogMYthuw;|DB`7t6k#1kS)t!!M~~ehP%2IJ2DKAvHy(7DE&U8|HyFm zre}E-?i(2!ym!}zfB&Y%**&7A1$=o4AfJ9kvNtIY0nT3te7UUH|MVWPi09vC^6lh5 zK{@{n{0`6WLZD5<=dI!XgLPHkCgC(T&yzwEb*@C#`fXyy7g(O<~lS5YtDx-z0n#S+nKmv_v1^w4R1vD zWJZRiMu(rZHgvY0*{nxzdOobWRZ|t>e=FJlU9$g$Wv2(rOE)dXZ7fdgjY0p2`wv*P zHtTx=`G4sOwl_8_&;IMt7_|?~EIuFm_jCEz-p>Vn?xnoA{vS12)!w9hU&PsOgZ|s% zTcmtdRjF`%)7pPP@`TSet^KbNh6Z8FW~To=QfAoSvAS{VLoq%qLaJ-mlq%6=s4j|$1w z$+pS%$&SfR$+wbkC%Y!QCwnH}P4-UqP4-U?Ob$v8Ne&xuzj`(}z;C?TQ9+lU`WcQ* z>$AmSthC!r8nfUU+rIsriYf3%{73LKei}T3p8?O}XTfv$Iq*Dw9=w2G059Sf!Atlh z@G^cG{0aXFyn`R2vrdk>fzf*6bYOIzL><^E z&n6uhy=RwBayU+k+@4>22JT(DpoHeZ(&;8g;#A4X1;tG8%+dvAv;bCJH#r(-L~buA zW`j4DE~ua{W21GGW5)VplnaYN@X^u*RrFPCmu_+#&Wqe$Sj+|ADP2%QD`4sLl7SrW zWMzCYADo@AfJ7s)>UzmZxHNJ*z8DIZCM=-PI@oBv4W^i3?CesTuxC0V(+SR9^7SkOc}02$koakwFJdvUQ8ypgbgM&H3k z>nCT)^bnY{Wd;e!*)s14%sDbMgybBVPXy*%844jeS7x7ZEYATS_-H?uGHCC=|Jn6K z$_6#`znN^;>5Jt>!bEX_0lstm8p^Md+VK3=^@VTKivZ?7OsKm1TL9pp zeCNsD_4&^69nk(aO{6KT8#9}fYXAE0FMnr?TP_yd%Xu34~oBgCFH*M@!Jb@x&LY4p8K!1+qaFw zN}+t;0N*~%=v|-h+wI%uW;YW}&a-`%^5OTTUP^Jt6XHu@GN zt?z%{7w`VQbG`$MOTTQTpE-U5Z48G0ZF~N*8-Kllf4w~kRlnZCD}UeO-#LEOM7RX_ z-_+KZPRBpi2Y&tX&8;kc6UdCapux^Sb*b;0?p^c6Q9 zj_0$68ZlrHe`SHdiZ@EYAh5@Ozi_!exTP-mpJfI)ndOYgAV97;U)+dKmlvNil0EgW zLe-xpMg2-3J5$DKBwg-iGV`#@GWEGogyEkG)O9(g4hWSPYL#UfNmsaGW_}Hz$~No) z32H~E*VwzYYuzxXYRxZouI$?HLAEcuvQkZj*NyBdNjEZE!~<<<9;ynfTJjTDx7T7uh7m6VlXDzr+{@zgH7 zN5VPBa-IHx{m8ZFv+Pp6552>C#vkkbT>gH#wM~^863m2%%2U?i6JSg^ss86y7heHh z3knR>Vu07eIrITR*8ZH|8F3tyKjZ(V3{^xe3z-*0Xw&+r_vr`cFm0sdl~A`(S*8MS z|2T8n>HvnwW+6Kwvd@zVh-^;s0wSA-EU1_bCOax-3y=wl*%!$RirJURf=Xx*c1(vk z0w+VB&M!U-4=NQ@M)P4oy3A2Hb+S!CF*7{BR8R#igvIDG-^XFd(*?!n;Ll10Rng*D z4GE@L9F}ZTSj+*REEQBk%V5WJnd5OjxxWm;u>`JdH1g!4U*Ob+jH9r^lR%Ba&^38ayDD1VIfn20Nz5oQ`WEPZt%7!h;Bc znrJI5NS`?q*G0A|E|!4j69my{EEc2B`~Wvbo-QuF0)Ivj)Iz&0N|tDOEE<$(c`bUB zXx&>(Dbey-tSiy-TO^lg1uSlqocXaJaeGrqC!1z4N++9cuu~`d%pk39Hq#(VH=AuR zN;exc*r}V%HAt(M%}1$NdG+c-p(+F5ui`h*W^;JxSdhSfGU36U*X-R4lE+c9npkv- zPbnF9k#dIsw_N1acj_lUFCJYvTUFqgg;G&oy;87SpK))(u^W?<1JJ_>_l zf`&SSI3vnWM0ow;Geakz!DHn^EM+H5D;$l^)?xH3xSZ>YugiYGo^Hg1RC0OML(OG3 zu;^Bw?Lpj+6ozs*?UJR56W@?h^^i?@gmne2>Df+9at&8nJ=9KC(h2?A=iU%5kHSz< z4;ImMLX&+;hj8VTI~8!tCEn{!{X@?ihT1FqpKpsisV}}Q`=b+j(uZLf_mZMe2~S;m zX67U_e5`3GrSgPlg*PQ-gc9ae;l0iBzJ7K=KXMrNO54)hDMvocr^5RP>q`ABtwG1R z+hB8xv0+OKr;%aMrsv2opt|~nj1AxYrSh%Bx>;ZBALd`-XaTesGyWZ@vy{UBk1oV7X501W5ehEdB(Y``xA#;dzgXNw$`D#s)tp8Qm(FQ z_51rDlY{!I=dD8k&+^hgG5$+?;bkZE9^G#S`6o#0Z>QDwgg%wkRrUWenSbK@Hj?nC zrBi>@*LR-(am1gw{yw7muO?VmCHgt6xA1>%Z4EeN#RC>AEIBO8RT0qJM~5zbh5}EGE^dTNqWBU2E>D z{Z9z#p?G(frf_Wq>9P1y7fHCbiu9BCscy}Swbi5!ap7*##oAg@pSVW1rbul)X++$q zn^v{>AZv!i6mD0io_#vpQI^X+es3TC?k=?Yr9F8CAvwP616>~ zs}f5jl0G*A9|QB%FFk@Y-S0&2@UI-ajPq zH`jYoElQ~VDmm$I64=%^Y3cG2Kw2tyU~ZO>+-aV_&lvsi|u(@rx&O5w9YP4=W8)8g7dYQ7nSq1SQl;bwazVu=4-KI`*jAw zaaYOYeCS!Ye<`gpnitEYI}nLOk_`%=%<$||S{1Y)R!?^z8fQW#7eLR!TS{qF(PG#n z-RrnS2eLsSlmq^*lvWKbjqTSRh{N3@lMA8T@cmL+BpQKb(i=#?MUoBhP=5FY0xb%S z!s_V_B;hj1WIPlGmnG1uqjj-KdIKrAQnEo2RJguKm{tRAitX1MNW(oQlZ&9DaDM`= zCfX9qq(6{>>mVBxLnYwZ1X?uO5v!*^fWwWD$;Hqs@D>8C7TOh?q+cTKS)gAc<5{C$ z@`Goae#te@e*F?z&nf*9InOoy5_!)fEV1p6xPuoZOSM854NA4b7d=X~A{SFiwW1g6 zO0{AZ$)#FxiyNh960FcqcXyL4UqQdg$<)@EJ~P0W@<}#joaZjXanuE50RAQ%I zgvMYfL8%zqPqx&DyDs(-aMwJy4bXYm$;V-(SkPdQ0X%i_CK0FQsbGjE$dnR`4KJ7& z>X)6VBrX`9Fd1Yi3n|Cq27`>?gNrxIa4w!d8J=z=B8($$47QgkRbkf#Cyn7pml(=% zp`PcB(CsoTWl-x2afZymUxE`+rV+M-?d3|fSht}`Q#fLYp<)b>kjkLg3q-@@`ZL2s z+UpU{gZPT)4cI9oj+Y`&3VGf44%F8VC&`Zcl%W2~R5K`ae(SY0Kf4TcXllp_f?{ z9!;;-XWAb+saFZWxS=ztVMKe#eZhe|Bct`sTyAklkas) zN~=TjR@mTP!Ke4gy=L2D|wo)68qJXm~6-Qbwo$Dx@bqC zx+KehXd2C>JVZ%qzj%qt8^sc7hm`?I2i!m?O{QH>^{8A0&PeJs;(ksVdi@E>(QaU> zZ`v#^@S>KvUENT3XgF>*BCWdT;VWW=^w$l{{k!G<_g40OrlapSqlNP`%dd_uDJ@LW8>)=8!WW$c%U&6EIHE0DWD9<4WDf^e-_OD-1Q-)pVe|#I{fTk_Ko| zyl?SI@uuIf@YazgH=WNKA>;UcsvuhC(6UXzqdl7e1MV*%g(dapNIr ziVD#RdGn*AtI_GKoH8S=0Ym)~a%qe4yH;OfLC<{=3k^!0o~po^W$&A?V7|@HiRpW- zQO##(=3xsdpoyyjwz-kAhnz%2)Gj`BN=Z=wmwR4dDz{XY0GhiN1v*XlHJMI5OS@k{ z1}u;u*3~$$ysLaaNlsZHP8*!lo*uz;ODQyX8-Jfk8Z2m}6wJ3DnJ!m&?G{q^TF&T= zb<_N8A1}*3`w})eyg)e2%4a!>$d=O~JS}~J!h1S;O4^py*Clwv+vPq>4hJN1ZU{Bv zF7aYwTzIzk^f|&3tIo8K;G9SAE9HcxmTY~>(U=78I;P;yEz4?81f;>qdP+ho1Vcjs zS@4}tKT^X`r)4PZisxY5f?yl)0W>%jzROq0xLR1z->-ZwbE z@qpn6q$ilh>WEJfa?#`P?V**D*R$JV<5V2YxYW=}DjOmiMPEUwilW zfxV+`d-b(%?#j)%za5_QtJ{0OQ@6FAb@Z`(l?1fc$vz|JNpFX&@9Ud#=f3QR2{hhy zNHyC+V3LL>-`|}(_UiW58N~Y7`t#-dS`Xu5`1x;DG2|!R3<2xm1DCUkMx9yRiM_ z1JoftuwQ;5_fM+!9V+d7Ef$^FkZoN!OtgHZeB>B{{+O7lb6YMFSLMR{c&xfrr8r)b zJ5x^F-)6UCBXNO$K<&>5nll5Mvjdv*1DcBhnh61ggSXpvV=+$?m#+Bi+db^PnfpvG z#Ghu5ev^G!V#NN>2F{vgv3*hY6Ov=kjnnQZnND7F+-dj2%1P(uX!n)OCvQ0JD*F@V zOmi!>M@p8HHy!ud{TJoDb6d4PlzdL!cKoUwAS9oe`&xUxWFvXkalbtPE8m#=Ui)*& zcJf!p1LZ)X{Aliq_IAnFxJe_kO_U-sr0R)7-xmMpys3WB<)6 zUrsdanMT#kq8KC8VVM``a`8M*BNIzy zq81Z6cvh23ZzL1Xq*~x3^q@cn!`66F4?yGBSN! z5#nBaaPqFjcvM$o#4x<@g80*u);ldPuco*$atq~x14^Bk)+u#Vi7WP)^i`MzOyH6m zI894@w0CTFOnVwS(fbK|^aXx-|BTKg&x2|DE)*`0rp4U&eXf|vmnlK)j&~*_vFp{g z^_TbsNzsfqlEN9YlD9ItBy}^)UY@beRXtt^O1tn1KO-KViZ1)H$fEe+-c=tM8xNtTJ9KaeTfZ z70g~ydvw4v{N@?oiK_QcNqC`FA=f+8yzcvmue^o~XeON@uvCIJAMnSEx8zx*2~6PR zq_fg!x)@uT&cxDyM9!ov8=gfJhNo%XgKro5gMnWnXVu<-S>08* z+tLbk`f7md(29`S+m;hw-t%r|wA1vX3V3ifJP)D@R%yZ@jHVC{`GvILr zmhE*)V%kMgV9U#<3+$SpJ#}OdOvM^xekEh;om$k4Fvjhz|C3Xa-Cu+fw7X~)@D2<& zlq0Uf*zNH5$qM4ADPf{N(^ftal@g(MDM`sPq?~akAn`)YgYATu__i1~=9dNM92d0= zhGn0Op}mk6MZ^*Fgql@b;Te}W$yGM>QJ1>uu`>zF=;X(=&wYUrUf_ii(2G>G4xEi> zp}Y(m`bE?kx=a@8E);vjT0u=2bKdNrsBM39SzeRRSu5qJ8!QnU#jRc(U}VfW)>m2= zTLjOHmPNWv6d8?}>l7{98V|Z?)vvNiwSeCFB}xdu*pUuNbVykBk1v<{M4uk)46i=j z-|gm}TmBq>)tw?bcyM6zseMCA@X>y;|PE?v4K95?FqIt+2SQBV&{^0UE z>xEYaE4<70FYR(&(G!9EjcC7^H*E3}!LlF6BxpX~HWPcr%9oKBH|{KlI4#P{E}o8c z>v&)Ub%3bq_9_#V`0h^eczEwW(sf@>j$|!gJ8u#G>}f2XmRnPYqGB!)y{6+8F9oIW zkOz97>e`k{K87nlM`TGX*{#~_q&@tI3#46$~qeaU=(WUpD-z)ZLXZP2h%DKH*EIRYvMqQS9 zKVeV6RPKf**}m|+CB07Y{QcQf5p79SVQ%4E52fRM`f#=i^*c>(oEXw)zkzz+V*q_W(Q_`ECkb#xF=TX~rVD6Z>LSdZY+NL*abWuNmqUSQ_k54hOUj2!;4&|FP&RdOA zOn&&#=Ti0^GZs%-r|rWN44_wr)1LU`*<0XG(Lp@-Z@s)3?p?Mcret#U()E2C*!=^B z170C*j$#v%(0rp~`~a&coeqP;YkBSi-Bx>knW={Mwx>n(YLTnVt0A+kp)xKO;!sFP zj7QZ^LvPsD57@q5C4c0mjTSM<^LUlfeVWOdGjO)4J?Y@~w8&LDsiB#x3Nn}YJ4_b3 zaQnJf+UXtlQA6en1Gf+7L@|#YW+@eeK3hMT?L(FvKpJn=29S45B30R3wAMd8d&}eB za_wR#_o00JQqU*vsR+=tbc7q}mGv#ft}v#1?uMzv#`lr_V8lawOdfoEhfYbaeJE=#+Q?1uRSFcs1>v;eNC|1PP>7P7!ojy zJ~Jvz#Ms|Z&AafTeQ4|E<-k|$JIiM|fb=TPlFqZLpPk}9-!*f84|zUqc*@<}SLJ9o zI}9zVRGGY%rAXKA#Hv+=LR&Q?8z5wuAoJbjKKf5y>MdpbuzEClRT&BvdQ+UyQ$N4A zb^PLzKNfL9;zaf6US&W?Y^n}*RZwLxIkD$bSX6ezr{e`Jyj@&d95%Y#zQ2-|gV&V~DIQP9t6oio-Z zQv+J3yi$c)uK`MrmAQBCG%4YyEi^iWbHQIif7(##5;r9Rx9?rN(Ij6A%iI0m|I#M1 zBDw{cW02EORdMUgzrm-rKBtc_aZQ9jM>RcSLanQu^c0EEk4HF52D+z&)X~hwIbZ%0 zXLftfMmf(L2wF?aUYv^Zj{~%j*Hza3N#Sn)NolYAATMa*wN(lTHOT1UT8eHR2c~H{ z0XWb_T4Z2fC_a25w?D})rh$w-{I);xw@m?bDk-d~H7;XcOzBONp@uXc$>1YuyQ3LM zn(7#-L0^ILSSWfHqM)oj3nW|@_=l`Z1R;k>LFx^VM#psn{=($^BK15lQp*CAt+=m3 zn9ZC+`WXKK{5%hQfAAhHqc?o=L(%C@W*})(!qX8k-@TOr*);rT*hUeQ9Z`UU2XN%@ z5sW{>K_KU^IwJPD4ov~Ts#IB!-UQU7wx%dhR>%Lp>8RQNgxDbDmVr5VV(0`u=&Ph* zQ@|=6JSgj00tuH6|IwXdK}b5Je@G;d%_4fDmhi@Qt){P3hN+F9Y{LXOMz9$j)cE%I zBpLx!|W7+ z4o{Sh&%M$*n->%OMjaBf7t3NdF`(nZ`qk7&2v3dvER+;}{x8}Sv!fqqjzNon;KuUQIeX$7O*GaR{33Hsu7aX-6g=dt#f&RoET#_0;V?3B5 zK%30F9(c5RfmeqT{Oqgy_YsNm+qh?(`(_I#ACVZkmOf>(+4LNe-Y%Cs(Y;{vl>?<2 zm0}W}(Mh1<@35@E>9srbp=nk1Z?m4uK6AYKu6x(&k}#@sBI@d^R~=s@(Jh8EZ0*vE zyn{xXx1*~%DtbJt$RI1NN$5_0_5QBwbaf&&H?r0Ni!CUku8>R{mb8?V6wwbUC|W7H z2+Rcw%nRRqC*_i!OpGByp*sdt)gULZD2K9}$> zOCc#GJaFsduNoJ6q;MfqmD}dqT)0^%LY9NBTDl|7sYjB$fsD24#Y(-JkZjG3h7=TC z(9t#bxZ(d&lkLaaIdc9~5oNj}80JL57a$Glst12#`OD@O>R?tRWxVGozbw zqXY6P*+G4z!b$7YBCd@2kjn#as1jQfm^JO=sAsWhsS!?1|7Nau7~8ff{6}a&b`%=c zHwJB{Fs;{ZCb2hfmWNGW4}C3oh^Vt`qNW+o#ib@(hcw>1n5@ z@o6Y10d9UR%7YR;DDnRZtn?U$P^({qP@72yDKkNd*T;B2R+?RaVATFG zXbjivjk_w6tl@@Q6IuNoU&A-|$+PXlUm4QfWaov9Pt;jKj;ejGOVM}UO= zAk5EVp)vIBMOO3O-8oM{dToqf`TjMQ&WFrMn0}>2(=^!%NxiKxgLO_xoBTNLz3#o* z!DXzKJS6Zq?e8Z8+1rj%SBZWlm_<^*%9JMUmD>zD7<62VP%Un+*^XuyNygv+AQXtiWF$y1CqaiZ z$MZ-*?a#NwCP+CXg-yyuE`maa>o1;q`eW|V8Y@m-GocUQxASx@wSsrYmJR_WPTNb< zv@e4y(arc9cKnqZMnz8JeHM&_%YK&0|ESIU$jW+W<9z*d8a4yfCh~fgd%uAw8@_ht zdcV?rTwgnD^pga~2b>n=GjZpGd>?7Ql15a}f_d>Tzm-wJPb!fgf~Ed1m)e*?vay&< zY~6d-bnx`!3LWU4jP@URQ-2#NU(5n;M=SR0VaJR{6OFcUqy&lONF|s^e+ux&EDEP4 zL|v?W;EDfz@OZXcxLzSRh_?z~Sa5pJaQe%-CeStR=c-&yV*2l8!6G*2>Y$IJq%T-8| zf}>mx&!f#ZvvA&Im=eKsrpZZs3n)13WL5NtcPEM75*6!Opr3LkHMh52Z;fX`k1#5E zt<40BPX3yV^W7l6ORn^1FpsneD#c}$#cr@P5Gg11<$&^YhKp@i10#h+}1V^k7{laFLvle}S7%~|cra=eP=n*ql zmJ)un2)2bO4aP`R30Zovfn6M#Q3J=j1h5`ZO4oCI!NmTF7$J`y^vn9P6y5Ebl`a-m zZl-gd6-Jgc1v(X~6dBw!RjYVX+NSJUqI3l*zdKa{ob9f-A8g&i{Bu${1XA2Us1lzd z8OYO4C(;MEgfq%WRG~yiUr{C78f!o)6LMaxfAB6d(Rlas2#y0ah4+9wM_Ofs)QWI5G~!`r^G20ryMx#9!gm+ zfjQl~?^43f`W#=C;sk9^p8^+R*&Bn$d?n(xNE>lHJKOz3I7yw;%6)}I;II{T8j(%L zZCnXoeACW1D;jkdf;C!2{C8k1ISXkkxbnMgcJ=!n3jG|e^zZsqnlYucV+x&i;D<9O zpy+hO7Kq`d58~DpSj6hE_|-duw^o$s5SP3f%`(hli}@aM{-7J1ir4h~ zqKI@8QWWJkubOOn?OmNmY0!_-z;4*}1w3)tSG}>~Tctr*icUKBn`^gwhDoElxHY)O z#eyZfxEHX`m}!i>pR86G(iW#4vA19aa)#SBnvDcrn~=K~^v`s|PEC5T1lkmRA1~BE zq&m`-EH>!Gh&nu{p{#l=+%?Y%vgII+{7}b?@r7))2&pTGUAP;@ z+7g*?;UHc=P|v*QlotLJgvNxXAg>unTy}P(6!b5UV$zW!^)yFYINA`kI2G>?T@8$w zf17l}CaPUQ>?%f>^QrmkCB?${R6oH%SQDYK?W46!O@}^B9ECnjGpRLePhCBdnCp^h z+&~#K`4Mb+AeQ@1qFIS)tD;0xa?%Bg|NHUcVE*w%Ssnrs0}Kod28^6oQCZzD{v87v z4D6g33=H%EleTa+wQx2Pb98le1Sp%hxLPQhxY#L}cv(0zNsB4#&j>nhu%HC&Zv703 z#@1quGa{>;s#PrxNQPDnH!rcE!?cwTEPGB3A)|M`)4ippIZI1R@G4Dg5S`Kv5P-TYig>0`GXsQ7o^9!Bdxd1 zUG%r8T&bl1Y~`<7+U7)2Nm`V6_evdN+_t~&q?Nf8yP7%r@q_%jXFo5Z>BssHf@W-k({xop7^RCTMJ&O9j;o!zbc zm0fr^&iGS!W;FE4fs~A+w3Q<*N&3ehFYfb@!Z5rto4Gi2yx7HCwm9Nl6cpTF(IaZq zcj5MJ&*83QvYD;=z|`yMZq(1PefKZJSI+%>BL;3azNHA-!H463>o$baC8BpL;MuhNKtp%jRt$bq5MCDIq;m$sED)qTWMmH%IXqK2xVPqAFpV;EiGdUjPw*NRx`fAchCIY%V^SKudWMcqd48vQ@e=E-}f4CV%4UpZZ*7(JbLP=DKyVceFw;+x_8--{>R$ zqx>rATFiTmjl`w;nwSYfi4Z4gN5;XD2B5KD&Bbr3X6tr}vUn;3WtEoSB@ zuR9Cwn)-<%QKfe^o5R^+-nJA+qPq5q#5;CF3IoNKZkHPNiX9~5c1Gx2Pio~@O(xiy$)@u&0u7Hsgw!3fF&x+v79kKCK!)+}S2o2A>J=5sf1-CqAaujXQ#TkQ zk|r*KjUjT;#wr;hx*iaC&#FkZ>Iy-=*f}NDCC`Pa$cr$SlXfah!mnA20tV)-4hDwz{~u7)Z0!H{Sn@4pK{0;e-&n%`lMI_l zd3MtC{N^4S0|m|K3(|fDfUx42s{&Ex`b<`@S&0f0tvaN`UGmRS+#*7O?Y7`5wLggmqSH8Lp^IMZE+JK1cT z-HJm8U{leyK@kw~C&v*I3vL|_R@&9>5v_QH>pOjAYO8psjxkfOLU^_-j^X3U?EO~Z zYbMetpuPS#s{xfzUABR)CXBM*w{W-hr|47h6>h(EhU)gMYpT}nmTAZ8iFsR}?8oxy z#`Vka&xaM)$$0n5HTx%{s-2Tr!s<)+4dm*jB3p;JtxM_rs;e!hjeIR^j+)1liSPZE zKPx-dOCBEE)(}hIn1%ZMZ!ENgrYb?}-32 zxWn?DY?(b!VpoBPd4D41sJoK?7JDA(fiW$?G%GTgSie)R^mHu%sg#c`TwD~EmEr}( zK_yYx&ytN@Vwa{hx5$S0wee7Tzo))9zU506N>dt`O^=ipDs{BVaLXbujw0-+SSe@u z=6DHsCDyl(x;mOHC~35!*ReEI%1n0@{QxvPR6Y(4c}1vVtExHD_*+!bPdudAnfvlOWup^TI? z4+Ss;UG>^>E&-j5QN6sBvk0ZA(92FPBt)H@m(K4C>bo5eD}t>@tryycIHIxlnlhno z_EQWggp8t43VA{%DSF&kp#jH!P?8Prt*$P)Dly`eUYbOyJJP5Y<=4#bV&j%`Xwqzq zUu#M^$pWpdx7qduU+@T_y6&S@C>UM9-!&$>+m0mdSwMUKW zNz4aWVUzNi@SO30EjiSTwWz~5AnW9}Jz~K4fZSyY0kS2I8ahQb*^(@;Z+C|oigx}A(v$*QY*zQs`hh-=&7@OQfXZ@T3&waOcd7#L*gis zx==AlxPf_e`V|U?q_4#evLHz4{UJ3h?f8`9Qi6#YB6xda@g;zl#mGK6Q7s8b2r?A- zX)nQCVyTh$n%}#cTi5Vm2E9Mr)+zTQ)tFzUSuE(*{a1l=fZe<8V^6AN)=u-F@^fhd zcrgzbQj?PHYH6`XDZ7|Sr5lOvR`yMz=s0|o!U)nYsJ47Rdvx#=jm*zdM3YCr!r3X( zBwdGVjoq|udQ!1LUO*|n+a+0Wfyi7bo{`!WnwKwo`Dq&XcVjKN&qL}^@91TlSWo=lW_Tp=^25>FvTa8uuw-VD=ij+2;ImqBE zayz3Ro`{al(M%RhHDsl}B%C-hf0as+C^~J$?YRsX_zWph9h-z>-1s0Z!M^>24#=6a*~ zAO`d-1!rbG$(=kNSAp|$I>}Tzyk+VZ8L5ODqBKVsnSh~{VCA5UV^38|zR2+TVba$3 z04*UPoB8IY!U@R)oVgoxp2iIcDllWw4jb4My=9G-#AZ}8GiLE4|G)l(Ow;iCo?pN32{_X{cq#|`l zXz%?DnkhEL`?ZMG2}vJX_fglb_!bsiDJB&!YH1JSS1B=I>d088?81wXBbu9?<%u6F ziffpZ-2y^4Fh;TXCqY8a$WW+$Wn&Vz*h2Xqda###%ZMMaHf8aOYHctd62f4Rm=(tI*)sg-mjE)KaLG6z{)yuMYzGp_v*}fBVFyK_Hs#DernZ z{*4p)FUGP|nH!$2Zk+L7Pun`1*zs$i&2& zz?1r$E5lxLo{Es!$LJ6cn^Z#1vOS(%a)2Y-?0;BORJRpzH5#!O?-kpNig_ zLZAfw@ujrlKy~jPsHY}m+`t}8s;cBwWF14@w}dBpVCb9Di$2{t@XoTZc~>jGnXOYXa;~Kcy`^cHB5w0|I2{MC!b!!0IXg1tk#dHAzERhM zxk&V9reln-Ul#Sl=~S68jzmpn7)Ts-Xsq$J{^I^9!W}?BMwoT1 zka&nKlUqbeZR$Z)_tjcGg~a4u3!SdeC#p}QAlaoV5SR3tu1e8jKiUK?i-@@vU!&_N z4J9oAPjKS(Jt9I|!Wz45V(K69NUId379B%^jfd8jdMD;|tu?f5*yw8Pv+(6T59y|6 z?nOFKr8p7=-@3#ZhB#tT*+#?X5m3+bRRX`G#PHznsUUs&7-e8S(3SVmOT2z14Y^fz zO79&vonkAEY$3voIpr9wUavrbIgzxH!nD_?BS917ZW4DRp$d#zJV{+b#H3xJ&wf{y zoeveGhC6|nYlmyjxD!hYkE+d&71_l`%c4;$V|^9HAwDD@nk;>Y?8@%*B{4J_6jew7 zD+vj!_Es3_*N(rPF8eKy?)oHoSi-d1Sj&+JL!l<5zt=SMuquQnS0+kk-XUhAfaGrf zm9}uVDld7%**^ar;ZJ*6&Rhohooo{vUbjaoA4i#yi%5$(TKTT(gVn9*h`WjaGRbE- zsfs?FVxs6E1#-W~hA4Ad+MZYY+01a&>8#D@R18v@9CCJ7L%bIQceTDx@|&@PW0`-` z_%NBXS27`g!qr$4&eE;-?E0=MeROlpq;UtLq3dtfF0r(=9nqZt!yo!VttQ* zT|>_~c=rNf3mt{1G%fXIBvM_S4tsOxLBMqp#JPwT@Cz3^|I+aB@|f4?V1c|LA9i=< zc%C!|Zo4p_XQ#!*Z>s#bme_kW;?jiN zYJ3^Afw_EuQWv6$DYg~EBB6}c?8y8YXVzGKL$gt&eV--;O@j$NC6{olmB}JWHn!kh zF3&k|_X2uz+;>JSit2^$x<1982hr1RUvVx&v3o+sjuSTx=z;v%&7 zMr7?8rRPBN%tFX&Mp5h4dOd7Vg{TQ(iZE?7R+25Wg<{eE1c#knll&V==8M^dP| z-#kOw8Y>Ymm?-fHR})W1bz{E~$G%-IWujXD%6_~bF1bBprzt=%vwX$oLgXv3=`EY#w6@vU6_4{0Y@tr=Y1__yJMDoT zS;2z6=pgpiYSfrK8auBx_&rTYjhM@A#Qk{kz+{ixajCS>?xrjArE;&m&W-g(2uGA! zc=FCNv%Kzg4Sr4VQlwys2Pe ziP%+zjlXtLkU4l|ds0G(WfT0Wi+gS&)8YYJDLav&p1PLs^7$F*u%(B|?kk^2+SZQg zhW^p7XN;@%@)J^ti}!>wn0oaGL|skr2JI23Q>B#Y#>( zz$|YS#~|M6k4jtd{Vx~m#q98z3Gp2`ea+A%$w&#ICz;$>T#Es<8S*dmwaO$Rtqdw_%Xriajr{T4Sd0 zFG_$1Z6Buq?}bRNwjLUzwAjuH6~ShnmTX53WuT(rRWVX?{K|(&$VziQhQqGwRV7EuP5Q4knPNhT=_XPgeob;X5SxWr1=Gg)x}1ULUDn{(4{AX z1QMlaph9qD&preP^VA_#!7{YPs_}Xgm<5Cixo7d;mg$CN%k)EC5ZNRh1lDqlxHOah zH)W#*;`5D@qHbeMj{ zm8wnR78H&l-0aT}j;}M{wz`exPva#W)V&IU!k-Nv(S0$(mpogfrpy|Q9pXI9>i0*) zlMmQG)-#Qbn6u~dvFG(IO~PMBL%2Iv+hMN&FYKrudJKEi2ODIUKU*YBC(C^kZsGiYPn)ah$4@zI zupk6ndBGX@o@@rZvIBOSI^m&9wr0;0h%mEHV|X=9?4Y{J>+MTv>_q~G;)!XUk)sGF z@ewKCPJ2Aki_h@zE~lP7M!2)bI@tF%3|h_V*EAhMWir9Q+esWc|4w;THbTBb-J+4a z_M}A^0~i6tHHlVin@Lm7DXRD(Edc{XBB?Gq^s!!s`&?>RW2TI}d20R_E#;r6%?UGsg5qPBn_slzU+3pM~K zAL4tQONln?ADQpv{jrkyv6?a&M@v1hWPsGai z-)C}S2qi+D?kN!cY6_-ia&>4q-0Pzv7^oKe#*3dl?7?tc9PFOTVMBH7ZWd>4v2 zH?9iS(LkoFN0tmvU({Oa)%dRvF>yk!t8=A4-G~at3N!R8Eyj!GerNS7cCP9abX9VP zR!~>44`s#c_j<^O<*U?!SB%Tl0=76>%BoYYQVGtdEY%?IqgYUJawY{$gAt@WyaVAQ z|B)Cr=|$a2K)MsoQpi@P2@pUjL$&DCw=AW?^4$>j^r$E2wy>jJxkPzWk0wbyA3Z81 z$_HK&?jKRMwpBc_#=9kt;-PIhSM913sP&xaPnJI<8tPwjeU_YW zND83c95++R1E9feXV=^>`PglzllQgPJ|OHXDyDhe{?KN0f2-W@J{bG1loz)cZnQT2 zTnn0^HxD-<$w{ER>JH<(@`zZ<}q?Za%35*kdFUN5R$EFv*`5@ zZ&Qc7+LC*4$ojI+u>03fmo**o1ve(fr;r$i_KLnn%h4RpCQCzn^y1DpZ$+%XnYMi& zXfI{hO%M>{q*ZnZgz#Yz{<>~}Oq5!JY0`efn}F;Yeq4zd!@zEk0qefXw!b`f=2JCM zk#n2Az0evD)Ks5l5^$V`L~h0+yb?}Rq))~X$K`I-V*%C&*X)ot<=*TP`%3hSSkNir z7%K!#_z0-)Ee-^@sN$|ug)aKUuK7SToeE`B`b(g5^x<2bY|V!4X>}(51Y?$CU&KUy zuJ3B(B^zHux*5p4$HVLhY*<9pk~){#FLXy_9qv6f!l_=QjzNkxB$6y2ty;Y&@WbvN{qL3hg;ZpjU9Ml~iYihZ-2?T{bz!#1Oq5}TQ2fx)K`jyc4E z#lQFE_N?`6!8D`J4$156_fZ<)S1=mMVOEV=nIL!Ihyz8FLE015L8#NWA8|8=ZiHB#RP-6ce&k%cXyMF_Ee2e;E+3xL>E&6l+NX441 z0#OCM3zm}}pkE4lTV5Ni$`@L`60gR#;oiO>El*T62fXqXS4J1265J5+FCK7!P6{!q z;!LD8g$N9tjL?(~+9To+Oc&tkRvM%+;38KJJpnJ$g+z_BpKIn7JRBBD8xSjsQ+OXR zPG}5n4o)JShWvXwJ#-+dS5zX{d?V<<4!(vjoWfbg9Dg%){?uU&P8=x`I`FW_+tfD7 zqPm<3T*MwH0YaNIgD<1$3-$lLR2}L#t=aZjN$sNGmtE$7884D_2-LdDI7z~Hk2RY` zLG|1XsB>O#^O6oH(t$_V|Azd%ba4~{BW5k8y-`44-0;R5#z}%}W*xqQ8>pB9;;{@xn0MjOoo8?laV_Cec1}-YJqXNi9@QrZ2XMjj@hLA3TDM2 zolHLW0d|#;lfdeH0%lvl0k%V=0&!TFkD`{NhCj=@*c4cxnz`|d3ZTH8162$*iI0Ln zx{rc01h59`4}2;35&>NVfUK*;j#-4J?-i}!YFPEh42jgaKcHxH+^s3@W2-0+$;`-pm;5M4E?* z0#Jp$fOm|R&{T}2O5FbRJGe+(#S)1Xy%t0!`GE?J0=NYJK8`}J+0?e`V*o}q46G(N ziB<@L41yQn)3zaek`94II@|jQ3o0u)gq0o9hUEfZ%-*5&qi#^&8S<+MN!QiFGyEf| z<;s)rM9hAbjCR(=0z9N?feca1FpKXX?FiR=Y9ba100F)ZT0m@Y=H7JY8ot*W38tR9 zNruhWC5h8aggdbD8DFGfu2`>;p9Gg{A|G9+hc%52=eey6X>c0nXy7P)_`KA2?9@e*a=v#^&ZZhv~aH{z7H=%2!Q05Jh=I3fF0Q6x-=fx zjR1HY4Old>*$v5eBA#J5I!oG?U0$g>LAkHrFZ7I)9r9HA9v`AzrX5fg!CtV~E}6#% zEDp<2$*z0}n89$EgnpZTSA``3b|lj8x%d9Yb8-H~gZP_;?<>J}q7BCabqx7t>l?zc zR7cQm*3~Dnl&@KAD0IL>Ks{nPsbIlnfCzq1%{Cm^8MrCOwe)YUz`dMcla=is&~(@% z9$KU0%fGLY!D4YpG$G<3Sn7B5%TL_ez!Q$@h*9yt*6t$sL<(c=z;alJ-BpkhHrs-l zk;LJo_~T0We_@gk#!Db11elm*P}9?FZ;ZvVi-7AcBOY-O@k$mq0-(U>J8(ozrWzTl zJMJK?O_g;1=BT~M!KvI{FGoCEj5ruTr?46zD2KsD@{hoR)w2qKC$#NTG(&JhOjfeU zMg3A9)wUJc;x7mehZ=7pB)RLgaJ z%w-~RBAPp3?Ng)`r9lZhpwJz9$Ri%@%SpZwt1rW5t4-#kkDF?bK3)J$%++{M-InLF zWZ|~t$HTtNkD&NzhGsCtREr9G@%F-v2EZ!UUsf1@N}T4#E?uGm$S%aKFu-8j?#4A> zh|3heGj?-i4RmacY)Ju%+vYZoEixDe&GG-i1fjuV+(g$&U|m7Q4G^n+cOwGCat5)Q zK}R>}SO>A%=O$Qm!p6A%!Sp~JBUuR?NJ`|Fm%ZCN?Eg84&sxdvG-rZ=rI|y3q5q#j zT;1{ee?JDMuArW*=m?6P72p&`xjcD3%FriC8B14cle#Ywhr>*p1c5p$b5hTY zux)OpW9pUXWfeZ$2VLw?)y{IhB>qWO&72KosIj-9;Z$k3rF0d+CMohA+%@nO+{w`k z`H}uv@Rx3Ut7TYOrJD4tN#YN->VDQO*8v#YM7;KdiGWWc6 zB@7eCIh4l^vpxO#fEU(y!4Ji+OMiKGitz{sz9^4#I;)u3byjW*H`?2Eag;rqBj(qq zVOL?DFW!EStFbY)^ZE^E<`kpKY(uc}hsm+@l5qP1fktK(lG%?}eyE4}_zQ};n8Ra? zyhHHn%ZeDjur9wkC71Gxr?>2hq)GBj=8dVxqk7`@y9YiaqUgc^uji@9CK{AJiv|ct zunc&}-6$4O3Yl^ZU6d|JT+tLw9ns(D`;fTVBv=v|B+M|hqMamc#1SG1yO4z>xzrJw z`)DOABosiHc_C3vl*U#s2__<>%!p^DpiWDg^fFxt=_71u_qFnv=ws(Go#GsJO2te!`f;UeoQuHI9O{7lpPNcyf)1U_6O%`CX+xdsFSw}}K4 z%{*gcq_@XF-U@gSbub`chvpYWU8;5_Bn9hGi z)1MR*J!ILy0?H=yGPHXQrkwjOb}?rT#wd(NxqMj|t;6sz`LNG9)Q`v1;b8#6QEi~P z&$Q9AoTl}5(rG)|jfN#++I#5P*Q7F-q^J$!ZvxWHqz;7+7ZF{_+e%|f0sIZ*8*uKl zD{y*OIYq0r%~NR08w6XLO%QhgR!y-^4DF@4CV=>4Et`*GW+8w2l>zTs@1~= zd4uLgao)tnO{}E`VWBe$=^&C2@Zag$Nf)2Q*|hm0X|H%}p(lMM4%M&c4{hI9Gh0dT zM48O>XlvR_3-TSKzfJ&VjIEYKT^^r(PFq%_D)S|02@j>pk~yj$ti#LHuw#p+UxOUf zJf?MSo;YmkCyV{j6g?sqdRf`6ur#600GaLZ3dWrmoad9?&eNek%f)?sqF&wTw&u3& zO*!-!TZ)|>urt(-;7T{f!(A@_3bJq0i@mcEZzb;9V>N7+!DU;_0VM$wMboIF?ZbB3 zgzR~(()`;TZeH&dcl3e7Z8Tj^Yu7bYS197xMu&n8d|~?IZT4-hlhbY1AKP{rL_WtJ z&T%e}tw_&GK)9Yt`@xU1A47A))YyO0q-hF%g@}DJ%0X?T zo+<;O#$4~r%FFq9-swD^rF)_lA1)BAhw}Gz_h+mI5iO&u`oqJ*_kgpZ6{Q}Nu8RY! z?TwT?GViXnFP#a1Z^pffU+;R&kkd9KOuE~A9FQK5dzgy*2*vJN`7Tt7?NQbBu2kaL zigo0YEt#Cw8AoZ-b6pLwo~G1S$6nr-g9O75xYV@m7Xn^b1J}6vy&Thq5g6j3CgTe0 zm)aaM2q$Ll55JU|TZp!ny40BT0sgXgF0=5y`KRq|-1nca{=#Uxq%?lUrUGJ>70JfyF=NKjqk2uJTib!X4?X&MM>821YJV5< z(1x9H1!xAjm~pi-wLpJn)@w`Ak3aeFjJicyK2d{qDGm9dV#Nb1V{XzytUHzCXy~|y zptLVj{O-V~MY<|Ml6Of8=K)45i;~DFzCpS>ln%5pfdFxNpP|&Vz2_Kd&TmwHZ=3@0)_7l8KxqmbxC_D8b=|f>5#@ zQZnGGnLwC}W#bTB@2*uTIg@8H4so*mBuB^i(byk>SMU*oYgG9yrG`2lx9{#eV<74k zbVChmm*q8i>ChnH{yB}19N24iMjMm|_3=JrTo%i{t}MX%Ymvhw<8sv8*n3jwPNyun zL4b;7L-S@W+3blLI}I_}>?4yyM@bdXrWRkri`9^97dXbc-*oIUHVX(Na@ff8dyM0q zR00%e9^kyn*~G%{l^Y{;27)fn3uB{Q_)t!{8ZH(OM_>m0RFHzd0(Y69dx8O-!d z;(0p5UhK02##kr+I%mR8dS}y4whLO_?XSyRCI;!;uLkGpo2117EmcwdbsiNJohjOk8JPp1LQ`2>w!ceD+Lehy2a>;6m00 z_&`LPAvK%vFk5Xf_nn_hB@sY>sgUnMR$KoeokqdJZ*DvL6B!jPRT;CXdTit#C$^t! zl55FK$lYa`>J~?iAepO63gC&*gjlD8Yfd!Cs!he)SztDM*uz*dWuNVneaJPz$`=N3 z?vgX>h%Jrv!au>WA7qUJ6qxlP@EDO3FPL$RYhR+hlK?W1!8+4c-FZ3!i9k?1mLbO%E#*06yBoc%$tB``r4b z54I5#Z=rY0mD1K?W;h};+Jty8HFqJ0ztGGKFMQW{;(`S38@qoJ)!!TrDcCG?%St?P zWFPgspW>i3a=WGlmG`oE%o}bgVCf2~-IrnG(hu5JO}GqzwSlt!B~Fp)rn5V4RD0t% zLm7XNE10Vhz-mdI&eGkM|K&q!~)nS59VBHDhquP)eHOAM=Ugv6L-7GUJ+L#(Yv-wbmYEUBNrXH z)o!766eqYdTj1ogG;^BV$cZ1;7D@|h=f@gtQGCE@(GjqkNHGJ&3%JfGB;MmnTannV z8(_s*ut=P@Trp;7AI`|n$$vU}yL_L(_eOcmC)PPe?tl7m7?>&EBOCTzMFGw<8DWeH zCrI*AGOZ0HLFXKn-9_<*W#_&@ag3sxxvHQE?}>CIt`H}lIoUl-M43$-X?4?AweOHQQ_Z8!YaaMo6B$wD}PWzF`y+V3b?AyhrFV^ zP+9-kR{ZKPt9Nou>pW}+< znluwA+n^Y|#!0csV~QVUjo{j#vN7vm>`?T|{-)%M>vV);j^CzI;WpKzX z{ahFRdI{g8*n$w0X9Z?jkNTr4bJru9Y(ZST#Q7^z63?P!8@1$ZP3X-z%ttQ0*wwRS z5^|znbl$bWHY1Ws;wxb*EV5hToou489jXvNneS0k#MOP-J<36Q#aMU)jZ$JQa96qf z9K|MapK6k01DM*+Lw+Q5lF$PgUCoAEyXt}x<&z44{JG5#V)G!1v0ZHl$XOyKd& z4pNi-*P|X}825$XoSSO4eUz(A6bH8r*9j>gNL-zQn$loj07KDNCK?9W1a<#tVv2na z1v3;-WFI7M(Bi3TJEIFX6PJI|3iE!qb%F{CcF~R_v2y_Nn?FQ)TLl#S0-INecFKzJ zK->W1b@~8u=Y(;ebkK25=H~?dwFiviYh0B{cn|PE7FW=&lJK{83V~0C)IU--6nJ_~8y2tA9GRXC18Nrb_m40ydNY zZL}4m4-@aHi%ZpeE>RLO9N#d5DzCZZmu#M>YoAPp1tdvyf&C8*!mC@!agPYHTXBOS zACl)rpg@SE3G&O4Db@`r6%rPa&0gK-c>$vb@>9+{7~2IFC1XJ=Q#1ES*OV^&!whUf z`;t-NB@uTh7>SOii~~OQAFPVoA(|NBBvHQT6d08bQB;wN4vM}JQ9Rr_TsMVGssu@7 zE!0rX6;i2_icFGDv{{$;ivFr&ivBd&_KA?a`#VJx+y{lYL0GiP$wWnO!J$x`uE^X!kkJtYSM9#fitvLU}te%Pb@ z2-$x4ADq9>AcQ5;x}?diIXW?1X?;(iHEzZ4i+L#Ci~H2&E7Oa@;ec)xcS*y2TTSxo z;x!^%6uz=;a*4h`7@4#`XpXbEz;uF$v{$s3BSO2U`A<;%vrE6&ZP^2(DjdE+<{LBv zIpU-*MU+4HIfvjd#MMRGC`8!P-TWhW3M?RolMJJ3qr@lq7Ub_JiwosQjGE;0e+Td})~;G&#Z7lE9Z#g@3pb$jJy%ctv^vacRQDn8!3r!4H&QD6{FvONdNK z>PZ6)8%vxk(-@fCkY8$5n<&4YmiB?7rb1)LYq$1?82*36eFs>R%hIqS(mP0RfzX?D z0Vy${gn)$5Q94KmMFgn|D4}Xd=m-Q1NJkJ*kRno~O7HDR??~_P2hX|Zc+Wle{`dR8 zd!PSV9^Tp6+1c5d-JN-pH}if5$EM{rVb;sS8^F%-{P85}h-a2xOe8ps!=bTI;s)rW z&>>}2k2RAI<7e}BHwI5_-c;wzaO5z`8SWFEIKIFZ^+hkley|eqX?V|j7+y3)J<3ej zLY*g+45wm`7OE6j6Oy=L2sGGlHp3hDQM*O*?3RVsh+YHt>@K!-X7O&4p$v~9@FwGK zE_DVs?-2zy8{KBCm?QASEuS+f1yByesnL#t;Xk7tDo+ua1k)YtT*qrY>RZ<)l3=MKYwWxseZTnO7=JE5N4C~TR@e^4Xca71BO1TMKOi@(Pq&#a3OE)dV?vV zBcac38t#|ZgQ#PbZ&gvFrdoO5?h(Wt|Q;m!0o4Y>@8~Iq`IR%6nYSyKd-S6 z7yWQkQ?)oI{#pDX>JZk2dnZ{<%nosR&1&K%ThR0P1(baLJ32B2vCO(U;YW3gf$2JcF!Sl8)a^FR?26F0{0!H zN6v14P&C{6}e-D7wdm(r-!J+|=mPFb7=A zqaKW|5ol9I2(1t;?YW+tf?ZeL}mZrz2%>Lyyd} zGod?;PdrzwHpMb2Q#u*q7YrW>IOm9Zi#75bQC~cu0>$2rm4%CnBgrz&Q9QS%0LzpP zenA>t0eMCf75Vo8Od1z^0Aidh5@$%k(jw(M3W4?u!`+C_Pi>k>?Stvl1k1F=ayq%) zYaT+LWHGFtcUE=t{py4GMV-^w3PW!4B$qC@rXlSXozHwmp_dQI0dkj5{jju1vZ@KP|DFz4re;E^;GIXd*XZIX5h|OMtP7@%mAI{0p=m zXQl56N_c#4@m#rUA2v}IMoMWHHo*<-na8{v{l3U3NVt@aKgCGG*$t4zF#8lP6u=2u z0c+5k;24!!?IPCZ5Dlf7NlfEtP2U7S1HU;WL9FGKs^_I)SU*o(`UNhIP3W+kjlY1e z`VLA=TyOX#M#Cu-Q$2$+wKC6uO7-5FPq#PTgz7+jLUEHnB?$A?9Tyi6YP3v=QRw8_KEL9qHbP(Vy2n5QnF01_BEu|?ZQ+#7tt<~dlo6*MIa!yItvw1xgL}DoS#)aWK7h7lIfBQj3yMZ?{CgB zez5_%o!+i}m1&f+0&jFS0FdS zT-uhm!^?#ZdL}$YR)iJZAs`7@U6H*|@NGQBZOH zK7RrK7BT&R>?3j+^15ZD&is}GMtlQ)=_D0E*b3mqn2=!DY5cRR4rqGQt^ide8VF{< zQ!0ws2M~{Dq+|0IY6Ny+*dUgpXSld^g=PzmTQ%1D0jL_iRk7OZGIpIZaCsr=S?o9n=PZo829F#~ov`1g)yO%4zypkVWGR#zRFejqgBj z^?5lU2=v_R3l%9+^dK`g6K^W>>?G!mL#pJxoUNA!RefjTr-RrhaTdFhwN1)x1h3OT zY{Mp)mQJF`!7=u>82la{%_gd4o(aJzXzgBfXRcC=C*-{#V{?dbpu75&Yy{&73wiX# zjvHnt1d97E>+~uzSUgqmv2kwA_t|3nPMVoMtFQhKTqFRTXh;IGBuh zIvcz#O4lFaM0o|b>VYr{+(JSsb#@^csVH@7>x2VF?eLN06&JdZ0rVOVFa=ftlzf#F z+>s=hf>wel9`EMmMINY;EjTtlA%vzSZW|@6hPQ*7&Jh)Wi461XWqyc}it)>FCLZw; z>rbC65IkmBKLkXO@A9l?R>hRq#J9#RAa%(vBfF5JJhOl{C@**<{`i)kK%6Q?Y)5vX zXN$l3A^bc1LO;q(kjaXuT2;&ua020cm@Ey&?PV)=#CX8 zfm0SAjnEK{rSvvkDv-b}t!fY-^Ate|z#TJoCos^5e~YFUhEuKpB| zKxhu%JqLjLLPJ4=~XyNHV!?)ZI;-^RJ6v zxb=qNk=xZ1s-shqHeyxq=lJ&c71R>k6m@qAnlGlahwRDR3 zFwbBO_r3Gz3*20grL`(XUhjcG+=$o#j21ae1%^4QlZJ-865Swppf_T1n7;J6me6z) zAj8Ro3ta(d==|uIifx+3;ApDxQ$KaQY(%65fW`gtNNzK91w0(D8e$^4`0Cj&pgR=A z+nbDV%#h$E+=*<~4-RCYrOY(5ViT+c;)1GD1apum^pOB>HZZ;<{usUtzqkYEuqpw} zL62YK=i*E~ET|Tqd7%}6rmVPl0HZ>R%2AQ?ykLf2R2YDbW+v%GZB#j`BR$l2;M+*R z0g?qt_8fO;&hf0L=f-Q$@gw>Iotqg$VVp+`*_CpVtxFkD z1mf9%m)6I-2UL{kNPyr(6{)^s*^|b>6rh}YJ?ug?&D=NsnlrWb&bK0*OlwgLV&9`V z)pGm7mv%EBHb*pbz(?!AaS=1H?_A0;HAK~pMLK&$wSh}i>&vbPEU$R3-?~35Ns0|o zoVGhmtd*9|tgaPYQiR6=PXl^38^rSR^UATgC(#jT(zh+`57xiJ8GA;YaKJ0g}FnWy^!q*RQP42@a>E8_CXDDVPNd&q#JLquqBw_8v zlv=24jP(%q(bKA4A{t07l8Y@|divTLm-{mUvL`GQMz(OzYBU0+HQmtpDslq&edr_# zaY_;rTslp)x4b!uHuy_wr=?za!(4>@8A?D20erd$Dm}9p%w0W%CcSia4KQ7SDA&yw za%TpEyNxa*)-Q-4qC;z&fG;!X;rPmq^iDn3WMYg6(t3#mEU9!+L?rgrBbQ z8grn`W)PYTEr3on4}Nb{hT%c{t5p_{9Jiybv~{Yr&g@iQkY~0tb?)JCn8o3mVe^E& zJhmpA!dTO>Ya|SFolZJTCpH30*-w(o?uZj=f8qnFQQc)a=VpCE~T8vCfE|UNCvp%`zDo@4baNi zUGnn&_x=b!#KN}Pg8qpyjJ731zM)2T9@z(+1K&ggDW7Y>m1C3yD8xltuQkZ4hf+Ya zt>myukgm4JD0U;NIa6$Krr>?R?w)Gn$M1)FZCIGA4$~Br*OSU2 zw+Vhl6N7#RQiO;*_F~^6N>VM_-uuIzI-{3O~Og%gGn z@}yU{0t>+<>RqW$I)Q#DL3IY{P`<=qd(=EQkh33ah17Pu*n;7zuWN_+Ip~LR41|5Z;^|z1^5-A3X*})>Y-UzV|kzBa{AJ%6F%C2 z9ujNlC^wV`aRQJc?l4U_vL%C{KoA`3)(WnRAc=|S5}E~TR#wVSQ7l{nwu+cR9)dyI zR}UP_56QYu+4L8Uk?#KS1tmEY4o~)=F1Qa)Ci@T>HATocEkRhPU}H&?yAHcmY6Drg zny0#_evmtE69?q_5wg*tiRy-)1 znE}FDlGK1(#?N*IgSSQ1{oomjd)TW;Eq8neeTS!hC?a)Uoj`kJ>9?6}Y$)PxDu!O2 zH!RSPM)|e-r1TP20;Z**1e9r^>eGJ|?;;-7Eo6XHkqaZKdjWY2_H2b%B4YUDa@*+_ z0p_4(FeKs_Hq0g0R~9-@ga|IB3{#%j#eZG1`g&VIbz9PL)9f`H&7Eh01-P8iewQOC zGR1DZb%zh8mpNyF)fB@(RqBVxe9Q(esd6Ero$on^dt%JxT*zgTfl4DR%tM1zuawZok}DkS4Bwx)H-Rq_fgJecl@*GfvX4M6Z03hlT zjBj2occ8vn;4pD@-j;wg?H+lX$=usGTlYwTIBLzuNiv!zWp8DZca&c5B&zYpOKalp zk48#Dj1_%(>N-<34oX6H_IAYuR+qh^e3{MBwmnx*##9pgZ!@(kdr{F(LW%Mm9*NWr zQW><77^FoIIhi&M9*SF!3_ERHuYVR#tQoIwx@G5bZ<3VQcdvCURj~|dw=SX_Ph)_8 zrzlfev8bZgS1e`HcX4w9`hL&Mr^;?{t2*9ny_(Et-S)e$7gBDC7JczUtKOtJAjl50m2DU2Ll@qkHo$7JH>Ee5*Yo z!``GYYC4-pYg(Jg3)`FU=TG^*r09`A6pPX(%t!<=X}=4ps5MY%`|`{=vF=&?6-`4K zqI)O#;IRqkuA|7Yn}fUU4+`EamTlVliM)Z_%~L*onDC+6Q>@|`Y^8-M4k(tO(rQ)0 zRyX6E42ewySwqAE&iaJv^eupi1_VDnb!e@A_wMQy{Rmbdng$f1mOauYiq~P$1(??g z2z8{PZDzP^e_2iCnA_zwViWmDTaa+}Wn`#|Oc9i`7h-l`U$?oA!+Ohd@eK%LD;?ZZ?M<=RzM7JZ5e2-2`T10TQH+tmxJ9dkcs z+s7Qo@880uR<^`t@5W@S;rBp71kJJj&Gx8$Ksm%Cx72}}t@Sk8E7(ehYat>-MXF^Q zxdD6!&PD4{Ks34VhY9pE6dSp4X`$~^X>Cg8mQrdcY1_0x@Ozl))DWt?Y8+N+HUXg? zG--lM`f3+ZYWNwTiGXZJ>OeoZTqt2Q-^6o|X!a5lRgAvv1N8&Ini}y)os#Ou>Zcyv z{>oe(t+CsE9jk+gY< z7UMNyXT%mia>kyP8@^V==U0(wAuSDpXzMqVyR#v zH;S?#Ih=Q5Ft7Ap1Xl=97Hc}n0n3T>mx5nyBVEG5Un5BLA`W3gz%1}^L|2V}w*!YJ z`WeoV-iY1Jkhj6PhYEiIT|NP}Tq7W&k|D)ZBo2~=D5dWQyn%oaJ-oK8O9{`EkEBE# z6&ujubnD#_j5wF6l7()k^wthnin*S{NEe*62^$6iV7NRoJHQCA7CK1f__arWJTnm8 zj++7{are0kRk`9u0u#FdJIIkR#bVg7;F@`ou6(${stC^b8mZXJC2DvkAY$0b25sWe z$^F4;+QfUu;A3ure(ZgiC>L(h$Xy^QQtU>oa1UO0#`T7BqUvmhZ|sIz5=wNXp%jUV zphdMk5xeN^bQ925Fnh%A7hps_0AEwkx@ROIei>xGry zC-h-K&L|oC%PDDsoo@{Lwi>e5?*ed^9-xeU5)3(TNy9x54=1xhOQ{Ii@90%1R)0?8 zGYFU$)WP}IC(R@?=o>0B0+$+slVGv(5PNM(lCE6%?WtyKowSm*51EAcc^$6DY~qjBtfm|*JllG=CrV-h+IiEuzc`z%krIQS3t6A= zHN|bjY(st0W`-0@Zy`xnVJ^5`tTE#{aJO_2XRA51AjM@|@KupVm7f~3AE>>HT;t@} zeB-a`hX@^c%{8=+$%sLdfB zaqX<(1{)6Kr*vc;;O$E=6>PJyL5Ee*?GNM$oD_2TMGIZdQ@t|40cDlR%1bQE3UbH`Wk= zmefdk#G*H4)u$rxR3i?l)+_KEBB-!;+wLJnaRIv3DYVi_C&(SO4fY(}%D6BLw1aiz zS=bp?JnSAO1{lX+u6W2dREC18)hQr;0*`4qXpB`ciiT`(<7Z~&>ZEiL+sIyEg$?=| z==9BAJUw;$eUp|a4HrkwUZk)co}S|I^Y!!K;`7J)Jh}F|K{nKd)?dIA3Pe2+HH0vO zfI2-OJ1_S#?tMq9PJCTZcN%k?)e(=Q(-~TT${!t9(Lt2@qOIUzG!5PLoCRbXm!eHF zRSY83F?w1amkz%_V!z8yRPXZoB4vdz3VaVeM(LngA`euAP|v&q5}+WFW~I3cDDo)^ zD(zfwzoLL>2lP&Zd8;Q(mTNVtK!jk(==VUbHQrW)r41eRdi8TgCwTz=6UC8n8( z7=~^@I)ojO&5&Z)>>E9so~!1^&p@0TMDYBT*_=`ssVdI8!(8}f4C3mws>2FIC2F4h zjygdes4l>|8o{O-`4l8vFi(v<95Tn5Hgf=z%I4z+aUY$oq)R@#6 z#{S1Bfo48|U`L#ogXdv0HNP{Gj^7EskiH8ExJhmT$^+-)T&pnr(&dFM%Vo;6=G%gc zVlNKP7)k4OPG)@~9!p~F-BiG#v3b7|ZMw$~^w9p}~MCgfQ=@iKskR)T1)-IGx z=lOT4+eA@H&xi*n6NM2rr$AAUY=sI9VY0-$zhm)McZo+7z&i}6%PTzoTrh5Vdf>Ev3M)g-BwUTykC_=W>6`iw`nU# zBmN%p1Wv|vN{}}-S_4<%#YulVI7ak<^ET`|X9;xYwe!VHNig3I#GWSyLDUfFv zUkgt^=q~3w2>vFkDoOaMj>l6CUg~Ur*g<**Q#-!HZ3*NS@ZxiAD_A$8&msEh;ix42%`zCEdt1~49~$S>+Zp>e4|CjtZtmIn!JecsPxZ6L@s=J9MH%rVOadFD94 zo(_C0^6-Pm8BhU>M}qsr4mh`<63s3mM0D;B2DX7g1hK()3Co_g^Ud0=_o{>7!3iKu zG@WXMUm7tiXbCW`e_4zFfx_&zoSUsJJOPaK+(E@FGGX1Z)?;NA{?BVFg7e0SNF!09vzJyH^MAjKE-TvXD? zRmiACO2(+Sq{g5Z_(Hrpe-9nL$63Z6}98 zE0gZBR|A8u;t!qyAQ*7;}-s-W2oNPne<|~*+$qY{O3vAX&>mt)m^4cA;-tIbx zeo3-5xrmzOxt_TJkU z4uCHSekKf&zD5r|HN2^)^Dtg2i**TPqI8;blF?dB(vAoySW33dsA}q+N!pc)a8eRk zVb&5lDcs|%|M14)vweTXx_?OKC&4%Rb?!;XdaFdLcIza9cIPBXOabbHpN+D-SB?ls5w^G6LYTCL5Mj5SjN-(chDLr<(vXfM{Ha_O4o5fk0j zP0OCjCo-SIEtzmPShssiEs}b1NiTb|Eu7o7naQU;8C~jy;X90WVrZxGc8l)i3j8e> z&yM&V>C~n{;Wq;2cZSQq#H=!F(>{05)~zlt;T<#>Am**fFYGQQVqAWBnlL5zrmw8M zsQcksj!j}cJYHIOyP|gn$E&=<@-QLi;Ol1(mimT0;j1rfDPFrYYs^nvGTM=-Z1UB% z%<)~fr0%VQtg>+0%$dB?jS zmk-R^kT$zX@!G+}PdGWNqTaoq$$Z)Y{?_P`^Po+FM}5^B9`miU{Ge695>y@{w(+ux z)J8EI4=dLq+5)@?(aeAzQ|-_KPs(2wg0wIuHu27){J7wRmQG$>w1P~65JK*LxtNj! zFIw}4SP@e@6cii|nnTkxM3AU%100g{wGg?F;7`M!#5~JANSt?*SpyC}U?jj>h`mO+ zS8F7jsMUQqiqPZI^-z&h@k#sgFpF~sMn`poxQtYF6(s?u%58DbqX7(xo4qP zeAB+_ULbEplYM2@i#a9UNP79Ed8bLbG}v3Y?ROdrn`K^~Fb+M&w~sS8LO;O>&d) zi^c@r1lpGnjtFV_0qb^qVQY_$^1;H(yTGKQV%B#`15P2l5?TJj17unbQ7?uQW{S-e zjJKpg4^4uXVd=HP1Nc$i9WNS9GFnWxI-NUS^qagEc$vu7Tc&i+$hWlFS}KlDNY21h zbMU_MkoB#5x89Y(q{_-v(TDZ+B3(vT zv>?p_^%2fVb2d>*i+4 z-7(4T4hNC4NrKzhw^W>1BB~UX;=TaCEG4*m0WWX3E8|M%e$*%Svm*yd|z-7}p#8x5}lc zH&5mlCt2S-VbVT((OVn&=80_TDces~ufMN;Su}6zt)1Q$n_irJa2UU_y?*Va?eU@4 zt5aJXaQ_Ez{lr)KM7!@n=DM)XMI}*P2Rqd7fq$_(N zw!=%F?^iq{eCj9PI+d`Dn_`QyytbBh?dml>*;hWw zwYNDB#igv2D;eJ&wViN@SEKN?{dmVD(My4}w*F?!cvOIrr|sa!0%Wyiab94-=mU=p zZ{Byf7rUYlmdo1)*5aOg9CsZqzt?$2Kfc-RYCOrYeB_$=XshJW$5mbWaf!B?d%G@) z`Z@O12Ry-a~mKco>@QoIY?v^ajC&!r^Q$&=EuKJa{wbY@nHyd8|G>vmI ziW#@O<+=Oz&Tfd}FnNN%92v_Ux0q_nJ9EAX-|ifKll@|Vevl{PES+f1Se_Jl$K^rZ z@aNx9Oz)Gd^IGVm?sTx;(P}D~8*-Fzm7X_{{Lw}3oVo*jr=z&vX3X(L0q?ZILuct< zyL{-!b&z>K@={nqy^M}1h`*AjKwV_~tSM* zfmU8w1)_B1v!lzO@gn&HS^e||%P#h1&-+JyBXRku<8K5`y^f@LWe#OE^D+f-;JnM{ zumx^}INhJ%%>E!850mpjp}$^84R9UnaKnn63-O~@q`_Yx&sou*i}Hg(VeQ`Pe(CtS z>H5xSYM#sbf-xQ)&iI@TVp9+#f6ta&?pIW2Eo z%V()QD#bkszW(T~*K$?)a`(CJ^YMS{ z@H;t6mQ(!?^1_aB?4tWW5+rAlW;ykGR7n5e{R95NT{-LMRu3bWo1&?mmfQdNAoL2SwD6p{TrZ^)x-C4p2^Z)$=2_U|20@2B|Uy^%&0f& zPr#65Hj8h7OXbFWYb{xJ*Zjq%qot;En#UiCvsl&_|A5_oqWPVGQg*;&`dd?yYyN>t z7R$dTxqd!8g5~Jt@*hlp@BgFGUvkJ}`dko-bG9DVZnR^6FSE!uN3S#KznI3a6B{$C zk5c%{Y5qL%-ZZ=k;BBrs35C9q~GyZ zV7K4#oKWVR#`mYT-apX4xBneb?oeh~mSn(UkoPBG^rx;zj{k({N4LH1zlrttlJ2bi zlZHQLMV{CH_I!r<2K9f<<8P!mt<*d@McIClp{ZA)!ha~Q@~T6*D9Y3%u}oV39q9kMc%y%8pB-nmsP(@F&(9E+^KJWI z0KOu!mYs*C#?McLz4P;-+M)8tQFT6+uXeBE`PoHzevfvY|6umM*F)it+7DTOJKF!%+4}qW|34#2q0|~2F8RsuTD|1w zwm3J`>QCsuS{TgduH^Ry|6g_ZyE1snq_qq9h_kN~Pd%(Z)S|hSJ+JEBAejDXwzQWB|*6?)BN*-gfj^0yQ z8NGcuhs$ikzXboXd#;?Hee++4{!g9k&jRjtpW9>H{tvf0;ZQjtlKj(tc(YjZ$CgN1 z`{x_w*S(Wa81m~rN}AM4TKlmT|8i^Df&XfQ?oe1U{NuL$S-!QOj4j7u>KVvD51f_TNJ%Yc&2~{GkYb^q6AIaJVi?dhA0m zi_?+)hvE=Z_J88UiQRFHOv@V?P9b{hOpeFx^oKf07*+jr@W6cY6IngHy$a z2frzJEpO#qPaEW)e^%YEHu(!1{HENsPp+HEzx=a8*omaRDt zJU(o!eu*k-igHuN#VxLWM-Qg+9!!sRvP|ys!K8jh;R2l~mdSvzeaEr=U0l2~-Sj>U z$EE4?bYlM$ZTqF192c~M?=bh2Zyy!4Q^kn%60ZeCvG0$M>|>*}NA{nYkUL6e_kVhG zr+}c0xh$#A5uOJr;4Mqa>%Utt=O}HMS7Fl8?^tN?@c%PP%TYR~A8UX%!2#(8!M}=) zF{n2ocaqNUe~Sa6&YOOx`5yre(`Xr`|Li}hn$F#?b3^`}Co8ARr=I?c6CWvJ6$kS+ zk17XFSQnFw*b7r)r37Q09_&2oC_J+n2Dt`by>Pi(Xs4F0XY9->)`C`QG`fycIFYaR z?&^+xTHb+ctvJ^g?R78Kl)b8v#cm}--^h=H{YS$SgGX!Kr-i$$UTJxd(XV$EirU4~ zZXI>J_b4iJbGl#i&TL8cuS(uze!#oKjwRXu-$3@{KNeW6&Tl2>FIxYR{_io@UN}7& zeVwuw;O+N*`QZA%nm_OSiL>3Q!;4O3<6ZYl0J2LLOL@-N_9llMKR3RsP!cbB0OVA) zXrX_rUJ~MsByV>%4*R6n(iQRb_zt%DiOdvh;l*WMCnFx?PZG`e^j{^P$|Zkpf1Gud zN9gW6ZPm@Ihhm&VEJIiYAzNP-?4GSh40#fdW3FO9~ zN;!JrCC_Y8(vfyZya-@~(+y7xv(eU~16&q~=%`OI1r`!*nky#J9c4pV=5f2;cI*#} zoyt3qu{kaRZy%P&n!R>r$$vOnVGxtj`D~KoZK>_vr~%)-eavo$?za-F$h{+TD*66&&W zl5^pKOzqq|kKBG=siDIPPP9>|i;!;=?C>m5QXc6yGw{|K=yV$c?EYw(00wODI zLZ9$fXf4>4;65mRnHUxA_Ao?eu=tS4B_b`;1Ga&QRkSZ&-rl9$9-!PVeF^e`sB8i>{DbMV z)j)1)pk1ceiSVEXN`cTVQwqg`Oh5pA%88y7V#bVl!9gT&Vjw7W0Ea*6rf@$%l!WXf z$-1+Mkb}Hu-98!;R30z2%)oxlAbNyND22HCDi7{yZP;)+fvrn8o|!a3JtFnwV1%?aGs#87;zGyJfL>53_25S)U#dHF=e*^8DOE|29r z%}2IOs_C}*vgzRlSVS<=9l}b-Fi)>h3{$abbV)LhZvgP~wqTTtS**DedKB_%ywjA8 zFFkZ+_#f)>;ePXbfONLHT;WE8XY2yMn8T`p9O9*=Isy=OXC6kZ49(!@Lh-^WbCy{2 zlx!|@`6H00k%EuZX=4J^RifA38xBm<6RIQV{fjOE*E7RdNeCV|mvFS4NccBD45iRQ zI-*ZPWvGK#EBGr_%#sBW_PP{_&fymvoZVUELA1zy-b~zcjKotTs!FB76B0JbCFWCE zfN{Ou^n8FE7F;SoqUx=Vd#=cuXh{i+scZn3w~)|1Xa*G`@NKFfv_OA#mAlD66)&GP z<@Fa}yj-n5g76VNCDmjUZf$UdtRSD3%6JC?DR@GUVB|P`cl&cwE&^WZ`|~sDRp$g? z5muqHWn|r4#D_3JeqY@Kn9C9??)T?I#3U7mlu-i^=4IG2n1mm^(#n;!ZlMJt#N{#xmae9kI z?I0!8t!U5GU9TwVN!uh(-70G##um4n&m3>2GK__;G%N)7jW=yfNzO5N7`MDsx^YB4 zp*TffKi?JwxNX6fQFf%}9!zF+Le;YMdYY~nLTlrxu9BOK1)&hU&8Obs+j4D{Td*L( zwY!+N3X1AW;2F2K8I#j(o5-h9YuR8A`=GmNZ}^q$sH@%Dm%Iui{oZKLQtG5~PB5|| zX!JarRRnNLt_pI=#y=EflKcVW2T}0|{eE-3rZxl9qa{vP-SPyR+zv8J?hA27H&eM- z5v=CTuCZ@wErKi6-zm|_+a%|QSfTlwy?f69KC}lg_Kdy94jdU^GWet(#revgh)HOx z#3@%$#yhx&f|}n3E#B;nCTXT14)&n+3O16}4{Da}cw|4Ag#=8@5a%iZ!8I+8p_Fn9 z98B}>EVsxm*Tqy$PP^TBa2l4dj2?a@V*f!%{enJhaP0(hnC^oVHCcYrH%ZB4fBX8i z$9|oJJ8Jrr%nZhXJ|Cy^&E|cPqCU0h6AXRJJ$o+X5|TjHm{@7*soC z_c+}?u3@Z-(RM|(D)2#O6C<#jkGm_gAHLKpe8sKbI#r`CcT>>6LQRlOxtT#kINI;3 z>gH#P7FtOlWj+#@gRZwW}VzVnpu_iOa2~ifgVeB@~ql z-7G8!7jX8!58hW_?x%&omP^5ea}<$m z3G!DxEapBn2Zmj`L^wwt$&w&*)!Aa;Q+6QaQZT_BStL_}gpn{)0}`~t*boWIXKV-s zIWx9Ds}p8ye_FS~(C$~4&(Qw(;&JobN7-lFGM>e3r|n@{hr+k_7-3Db{?B`KG_ zd$MwacUbye)`|&}spl%;m6}}ouY%K86vZ~<$L;+UwtD2VVNPk0`cC>X1v^O_dZ%4| zXGlq`t+xGGoXRd`L8RZ-=lqDNrj>}P)>jOTDHUQqVjU+ZU8fvTHT;DgkGg%`mXs10 z?7q0Y7?e{kW!F>oIKAb2(zVBFu6M}MK}q>FaQcffmT-})p#96Tug(dQVKW`^p)usJpTgW-z*h#)+#u3uPhWQ8Vj-3w0; zu=-a3qhw=(K$E8Uy>M~e$V97lI_s?8P{kW(PH%f+c&cv-Ej)fr>(Rf!yLxk-fzv!G zgz(MkO+I@gZf*Ifn_cr}h4G~<-d%8Ro&t?Q^lfgQY7M)~6@sf5-6>7p_f$eA?0Jnd zH+)y`wB&mke%j*n&OGaJD!t;t;y~ykg!K4>Uj(?fIv>h#zEKafp%Y;68Y15 zpyKp5qe}%l68prOcXnSu=7HlHZ9!|f+eb5c4jgVeN!voxIT`^dgUngX0qWlCSew|U zqDZ&bv8Buckk}RcVb@Yrem4# z%+U#;t<3951+B(_CyD&Ix1+jbZ~Ui$!`-Orvzh}@vHP?k5m(28zcG`&xA=fx&&F8z z>Heh<(yL=nz8#XjH~(<_d7PoJ=Kj|62(dBWZzH7d%|2{@PGKmlxI5Dmv!&%_kykT4c&I;@UWu==;!m zktx@RYokH^ahxy8E#C2jqD73HiKi?`cTG@LR7W>gSc=^mYN-DK&^aBa?pZLw87ydw zB?VJ(Q`SM&2V@sCaj0}H^Cn*li#O8vb#$pv3WHQ%GunWgXI$fn%{a&v3!}m>AdUa+U9FCS6&gQYyG9F)OT z4!G1u?;#Uj3jwsc>z`o(SbvW9-rfVr1E%kzx>in_+9_+^O*{w8rI$@?`%12=-C6MI zoz(fpCSTY^r)6L5a7J5jr${>eL|FbtUbZqx`YH*V+AZ_68$zWF9bgu7=JE`a;^(DS zr2XL{*eiFk4V(c5LpQ3eNO#WbqhK8LNJ&jTjVnbv`s*9@d0p(5K+gf)qF=bje-X`} z22)D+yK(SrlX#Z4l`ls7KaTjy_e%R(+I^*OexDDXZ4uAY{s}SLJ2uMS7^6-3Na^|C z{y!8-yOE-uenRyF_t2?P=DmS)`mgGvmX8P!lF{KQ!(oI}b#OYw;T;?4d%{Kfgvwz> zKk5T}c{oi+oi>=AtK2u+@LbvKOIPlw3zb)t{S85Y!;Pp_Zj$|1|61u{1o(AfSr|=F zVvxjTTarHz-;xDzAEt;?U${zz573&l>8vdwQX!}(&m#MODEPWT0(CJK8drG|Xf%nl z{xj7?lqMt#sEZP4iLud;;Q9Nit;Amz;m)YpisI|Caq~@^V@9bgZ4a%zUH|9Ec)s&8 zi)usv2MetqiPGh?Anm$0zvzA!*r)qnReioM*1aK2WB2wh)gL5!b<5>FaRyXDP{?xw)sR~Kh`Ti&3x`Oi& zG*QGifAAGNY;I#tJ});15;E_bV5lYnWcS&Kh0(5u;J?l^!6MGNFpzm0swDF<>7n!#@&{+}WUfU=ww z7hA~HT~*vKp;(Y(Mkl!MNt>fsLjw7Ic;1Dh@^R67_E%xmv(;Op!JNTVF9FC-q-4k| z@tH_~9hsbUGZXkLqkGf8hidvdG{nTBU~O&Zyb>9eQd}%4EruH8dcKj6U;z2a@2c*mOH^S1)$9V zPH!g|WIu*?;`tv4!Fo-DoL2;+t~rdgO!5>DdS)_tZ5D37P!JGYjY~aV z@i{r`WXV?$(eLqnBQ9iHO2@Y|oXA&3SS=Vef16poSZLN&Kzk|paaxSXEJPcs&GX*j z!yv>_K**sCi_XZ*rrS!kT2rY02rtA5{xYrvRg(DzzJJ@vz{e*%fXCF~g@zlHTd-qg zdP^bcZG&Xo<0hI;qbVlnb?Y!Y;f+Y1)Nd>}Xus)u)HjxT*$_9fNyZv+KkNS~g4&OJ z|K|6oUs&p7gWT{ZiE94ChzvK?$b5o4)0@PubY^}ZaOR~I+T|Rk#kr3eNTCO@*=JY zvUU4OZO`f=B4qdQICHq?VUI80{4JKann7{{;p>cngUVaM!e0Ue{Rwh98L;bK3z8Z; zdP&Q-U*2A-mlBFPZvXnr_sI2aKOsxM-3a^*F3=tI59--c+N~dSPkTO~cM(=53i3mO zBKjt)y4=azw>KBq^Xb-aMJ288jMoIT8oRcP=fvLgkkV_7(zcy4RT40Oc0y{<0rJa` z@g2TK$W5QrxTT$qazblEle|oQhZk`rJ8fUhYEmb1N&JR5{P=`jWc7!k=jNrs)M;^C{P-nq0CF(qFbApZ9a5M3j`89tirWNE3QTD9q_7)?+h2OTo42X4ycm)v zb$5}&*vp*-LI%Dl#j^(GPkF)xrYHCwKQj&171hZwjY56B$?bdx3%=49U-kUIvTlP0t0 zWb+QlodSB3CO^!{79Ef~2hb)@HqXhvJ0N!n&`q8knv;EhK<*lVPM%zt)RatYbYMsIGFA63 zk07Bn@(0@>nHe7><)Seo_y)s+_iTFLSQQPKm=_HXZasAzA5JfCh@7dAvr+%%W#X`# z;$RZMmnK6Q&DNKkanYs$97i~e>;oyO`s)J6pMUcc;}F~f<14>hTHFg6o1Q3l8t-_3 z`uN-+Kz1Yp>CtkaBsE?0a$te!f{Pq~NUPh_Ot3ruicxAv6=e^PqCIIr^gj1Z)ci<( z6Et#03K&Qy-VhQaud2PC!;<3{7VRUjgApP7Xcu%0WGO-Wsk_0q@^1GRHR>Px;LUzu z*IlGLh0K>JSR!blpSkSRGh|G>ux@MElw-g_2)no)7OwbdnnOb@@FuRLNXm9zDp=xd|F+n)it zw(nPvDWv3$5E&1}UAQEw3(i3|7*VRoWCbo^N2zQw!~YL+Zygj@@HC2|!EJE@WOrHI zC1~&kmc`v65FmJh6Ck)O&Mqto7FJWeHJB!XC{!wTx&bk&Icg0C(;>#O8g20+j z7uFU;HJ`rxa`~1$HSAAfK}>j39>APCR^Yp>Xff|c7DhRtWi+QrY88E!)<*0+5dPgA zp;K6*TFLHNd{F|8x2}wIt3W=>(~**Q%@kZvZ0yFo>$o?WcV5#H)pd$i6jPJbb&J;Z zi6T|G63;7pt(*UwTq6Dg#sXl=1X{AKa(z_-rn7Nyi532iny_Fe(y!|Tnz0=L71^RT zzJr0{b}PVJ^@!iZmN`QoJ>ql#-SW;T3=oMadVO}YTJoO{QWOT&{G;FE|ag>1IK9yWF^;YrfT`pK6P-CQZj zNwwj%$^8pAXe>P@J=J|QR-L&srHwFloDONRT=?g>$yXK3o;c2Cdg+$jiS(y=jyH<3 z(^19sTLfCRR8KH*;&jRDWb-ycW&!fB*z{CL>=DFD1Y+%_RA`Qhv?mNI`t{kchA{pU zCF}~p9{-68F^3Q#PJ)Fo)DR+wo1InUI(TLqzVScAF*gLq&2TkEEf=B*|Cq&G^ z3&#<}h&mn4eZC@qAV)Blg%9%WUUq3O~@Oc($DV5%q0wty+BCA&Jiq= zYz2J+s`?7=RpWKNN))ZhJVWC-oA1jDo7<|@p&!{YA(ic+WGZTjx^6u>nP5dE zl>}uxl5nqKa%=@JDyW+*$_4Vg%#!yLSA({0L~$Y_EGoq*A|T~U{vM15F#}^9JQ9o3 z4T!>p20`&lRI7A|*p&y+s&Q0)G>@rN*U?x=W<6ERO$T)p~V)q@T4q3KU)9p*I_@WU2${pNX43kE#>3KVn5#x z_9c2;qtwI4Oh`QL$DWS{n23}oklmGgNDi8R9MmeRUv!_vw@kMK0ZsRaEu$ z?24;7N!cH%Kei>PV8DdzV>vB~eFnhp6hlQ%vdsWR?4SXU8*Id65JL!N09)2))1rBV z3~>@(yt@$~zKQ`c3oqP**`l}N^ZVmjUmf$C@je37Zvc0AiNLaxc%5JE{(LwSjMEK{ zCV_fGaiI3lQ%xCF<`N$WGvp2AbY;O8${gigqGu1qkFvwBtaN8rG<{W|bD&dilBh6f zOaqZuPyJ2xl5z}VL99fI>*zEYTw4zG?MS(O2>2NmP>7&AlcOB8cFge|x!f1wrXXz`J(1FEOAYDn5g>!0Ek252#l z*tJGEMIG`PR23&Wu$y9?YD9h_nTngn9F$s5Wt_Uq)b|r+}7>jCDHP>@0QH0h#<~^FPqdlMk(a6e-tv)S`Q(`*? zqS-tVDO(_M{m;-PBeV}JSqMIbVAf~jm=l;}EHSw~P*t^L84{x`L4woGJ9vhWj;X)V zj|Pxn>V9luh5_-{SizvaoFfGkHl1Wl`jvypr+;uQmGPBj105 zApQ_)TN%~RAP!TUL&C)5;6N1ng#2JUJ8gb3yK;R>XBZ?yB+N9U(lb5?F{YQoPM+V$ z&JKK6qEMd#ATfGp9wr$J#fi(%4DZi~ICb4D(k|vV^vfS)e+sejQmil8%1%5G5K}L< z6ls6b*_rBAv9+4&y|Uy-R&43jYO#m*FN&n;m)~fk;3uuH;K*d!TggJJ{h!y zV&RgX{N5+=i}CCNYtj^s?e$O|UimI-%;Z%?_;5@-9@kdUp_Yww8~FifB!#s9fejn} zjaBLWKHH;u)4@l?53n%lnjJ)~fEkWDCec@DH+lM3D6!!imQP$0`W%#p`dq1hP)}30 z0c@hd;d;Ewp>l^>CDiHtXl zq$ z9+Q?bx1Yg1rQkN*#)1|t{G+qSUAb+_+kcproHcq(2>rHa4%!8Y9QWfM#3fYkybPQr zM%cvEsLLkZ1`W-%5H`|5x+T@MP`a98x0TrQG5q+%uOe{!dWVOqQycE)^g-tvzJD?= zD=~xbRli+c#e3)-H*Y47GVu$JisiWueIjglK%7DNnq9-essP@L_H3&MMy%-bY{Dym z)kidOGxdh`+q)~}yqrekBG4XwR zt~UpO*=kJySzLAzZCkEAj zbcma75z)uzSF@i*tDwK@bBi1dlA|%ipP7srn}uq}5TC!4)+3|Z4KdJF5JM&vs%BXjsQ zqIC4_6UPjuhw0+0zMx9#EtKqc(WX3?Z*~u-cfU2@nh^8&Is`|zdsS~yVVjqGRsU?) zys}x$HNbOIN592agS;G~1DPM>RWVEe^&#MStli` zy;OsknPZ2I>z5k0m{XqQXc4zqU(u(=Y^(xwO6jD_AZ4Y~2E}&KWr=5gqkxvezF5iR zC`Zir8?oj|kK6sv3|a|F3I@@WZw!^pw6c{fQ<}%$YjH+9KFfk{P8ct~GcFzo47GY`054kU*;z?YhHgHWzBA3X zVP%kJls+99@rseyXWb8lT`A;_dVHYzJ=TKo!td~fe>fRR4eHIyW@bJ$8Hj7j`aD)%ddspXvYaArE78bk_j3mDlL;Zt=qZsWmS5W$4a|mWCgNW zm{-PH++xN^kJ5e%8nwOSLYZhgI`-(>&k0zRi%#%@OqF{l)z%b}G^J%NP!!+feoLgu zRba+8)0lsr*$RRRtbDiJ3D~Mh#0oJq0Xw2=&Y*XR=jydvFC9VfSx))}`#hcZ?7J@`svt3=m5p1R z9e~^?EoNYUTsnThctaS6!G!Po>slLJq77H&V1dSGoM)wMsb2k7zC=t=JF==@qh@|7TlQ?@8T*e`9e%?2ql|OISZ4FmjFL+iM({^` z9sD@<2)buaRoZ%bR=|95_q66^&bg(&S+man>lOd3Rp-F6{oK<3{goJhrd8*Fvi02F zS7oPzwh4Y&{MTv1Z~^%aw~2EnG(=9SY&&>TUjBKoNPQHgZ9;HNgF{G!5aU>7{}c{Y z#!uOraCQ-k-|F^jJ#-7~CJq_UtYQC|0$93RuFV?zVcOA6_}ah6iTspO>#=$;)tqJc&zBgFNv|TZ6o(mwE>PV9rg)FC>C(T*07G zIalZIP5M!P-qWDnvCqeu@}b9=L&y|}Fc}nEa+ehXeONnqpC0CO5=v#Ajq?FoZ*)8B z(((!EmejXx$isV(peq%+p}Vlf39qn}r!wr7B>XUovvlsOc$vc4BXj+Y&ab*rS;C)c ztvVL}*dJutdntgUyq2x^J@ViAd0>!q5n~6ntl}DNH>+p7w~4y zqT@TU@x4?2^W1L!$4Mpp=dZB0d9u}pn}1B64OTIzAHZw>z#H7`4KmEtGg_y1l=SQM z`DCau$rDudiHCq*66zU@>$D^cj%vO8e`7g zzem|qN{N{^hIHoQyQ>_qm(RQlxbN;~Cn)-j`thZy1rjRa(J}wYVyA42c7(O1%C_&- zP}BF3+1nL^`OG04aj(pgtlXhset{|Tk9KKhGB+178GmZgRZR_VIt)>m7${L%jw5MR zoO5>b$yMkEqiWx}mM+cJG0EXXelPx*5f}ahtx@wEnXBhr#tpL_bfHVO-exi`l+wX7t^4kAh@e*H-eSdeB*3^*tz<-)DzI?zd0=ZI8+an%Hl{?Sv zjNkebkMdE{Mooub)b_`t>=k%mI86$CI+9LP)&3SGnPyY^lugQg*{T|hD5OgkNNQH9QSG8Ku2qtVGWH+2eo(* zL8;U)V`u-7GN%MI_U1`p*Cg-XUZmYAZOe7vO97&OJ-TQy4Hg)YhVmJ@x=SRL52cO3 zWI{qnB`7zVd8s_9km75zaMm-D86wqS*BM99m9p zGxZE}BGl|>pEs#S)aE|eH31os4RIs8xw_`S9qr02^5Ez@ha-$PJpD^P>?C!=~+E1Du4!pRDFl{ zVMZTiz&kqkg0~b>&D-N;90R#TAEA}?uX^`&qDp|~kUpT7dKDLm%Vnm;w3ZoDVXp|K zE#tSfgePE}{pad1_Bb9$_I4Fv96Kbjn`}b`@DT7Xf`zd)8eNW z)FUs9`U8QTrExfio7OXr+HGIJk($eDY(_MwPA}suD6am1NGdtNwVxqwXk~~pa*v%{ z_-*k+Ed&x>VuFG&>%Y4gOx=QWsxQDBa?r|?AsP2Q*>n39zUGo$ACx#0@uuf z-oz;^*6;!Qsx?7ue9dRf!X)Yd8A>BSF4|EK!5B9Ww`nEy1WDyds)wQ=H$**ppG`D@ z1&}OWMerka7mZN`xH=q^3(-q0f_U(%6p}H5sH_U=M9Yk`ihUhm&F%V85POvyBHA}4 z_Z74gI$N);oB<=WOha-FUFToJ0NR!a!I7Y> z$to3MN(vYOr`p%W&?Jy=K{OONj(G_l3)5L;9ungfYI!l)X-~d%FvZ(YL_H#6i_<}2 z&Z7Yj_J4?feFu}bRD;S)5_^nMTRG@1K&l9xnKQ}*_E@7@OhY00pU%#wrz2;dF3((8 zHo6K^VRVtjwOjByW*GOt^q?=PiL|;8Pz?qKQA48L4WqXRyJ4Ef5h^D7>Q%s8$Z@JS ztl4)8s2)CVO9W%kP_8$9Gzr(oks65DJOcCK=wZ&OC^eLo%y3Wxg{fc9%rk+W_Dquy zaY`1t=r1TI1xf$h2*cDIwBtT{6M{|^MnP?g3DxJ}Y4ZwVuttzy5b=^)i zRX9GcUtOD5c8qpaQ4&+v2kr3Td$Lu871xRt3o!m?mfJxlTG%@rOJ;hhD_@|(7&Pc0 zSt=ki|Kl*=X?SD(-XMmEHp)p+RlV5c;CPCU2=%vE{UFVj3PC*J+qV$(Z@S&}?0#SD z?)tCKhjN`i@7(ja;+{fdq4EW-CyWSYIx|l|PKr#ay0mc=W_r$10a-yZQ(fr7w^E_i zgb9jD>cPMeb>$;eR6pDHTT>>~J5q>gCkCYCcFYItL{UCP=_4db%s+FZ$om;lFL+f& zaQ5voX6zai~->uI~EREw!FoWaMW6A_jMl~xnU6H06yqk`%C55Cx zNC90Nyci>^Q4`7T!8}DkJ%}}>30>?wJB}WxF1()&Bx}MCv$9M5j#-f$fE@r{M@S|m z8HTyo(9wbeOj}7iEwKtuqe}OXA7@{KRDS@FdZBjDkf8k4%uU4%Pyg~LWtY9)PRE+H ztB_Y^vIW3bzX74e*up717!3J9DUVqzQBI#2;x68*SW7k7LHa;E5GzVg)XGa4EfnrP z=9vXx=5oV~h;DYGQ?;tMro?vdNTFu>ATOfk3Oy9O-xGz&fG|MRkM3cBF+fj3`!w&15QJMnv&4paL@!xY0%X zte}KD!Mw_6)wm$C2@M=Oz7uK6Ld&id<9GMbU;I>RtgaIL-Gx^1y8}!ZH+^!qzxm;dYNO2B4(-M2arboDRP4ou z{725*l1v?Msy~k}VW&@U(Tvxr8i!dj34?Okw>@!n5wxsu>Xo zrfBTJseWdp1S+zhNl&{twk-dv=4GXLuI|--<#Z+s>gR&HaBd_Uz?-neo?I;NeO_eb zj@k>A^a;cZZ7hz=si=A^TUxFhFB)-9j?CeGjjtS1aX6g-69yqDo)da(!|FwXKS*G8 z=4-&a3&2K>lLVno2g?-4wFPdMxO+bVs;9Uh4^Ej{7#=Db&&D6PZA*t z{HNuS!4OFI*TIEIbA*oC)l9TzAg7MyokT*=!x?THa7h1xeaRy~G;q}JY+mT&h{v~e zVm~D9lST`7Ht(v!%Q>XRw~%7TCq6`uvLc7IrBph|- zQu|QC&XyZwOf@)jy*O*{79md`-1GLV0++|EQm$8$e;$GLsm`95X#90IYfN-i^3;5- zOOe`T?fQNFQ?nWdeQ)C1c6YL}!FLb0&3@ai5+L zXI_{VdYN0jor;tVr0{q-$N=q>cM6u~e|VT1mSGn**0kDicx~-rGm!I0gB{9DPAHd) zP<0KMbZ9Z#LTl8>wloMz{bq2FeP zBNfd2jR%}1NvEE?_rjj4e@9enrt;PB+ly;emAdyPOXIG6r1evOb7u*T*63y^ar06u zOvCt{?>PK9p7#q;zh-oE1e(j>5{W*t39EpH3qp9)>d!{N#245GcB=mni>ig8ObMs2 z>gnZvQK$X*{Bz^OZ|==bEVILM|GWdNz85RXIDHaRZIFM?RFo$l5oLA2c>At9;U=AB zf+zBqP5PUb_~j$ZvEP4BIld+$N~x<%Z)8;L01W-}z18_le=6wH28+JhdkL`D4x1c3 z>B>AxXbW~brc({_e);|Sod-KG0Oi_99OCmP39BjJyKlFj#pl}0V{0!jSTA*|jRRb9 zEE-NgGpX!4R~?A;YeX7Mea%}z5FTM&|LoKoIjLZzMLM7d6w2*jN0eD6YvkPH86^|C zHsTz;?)yMU+H3nNN+XS4r`Y{H8GYo1dShF$1vv9^-Pu8~k0ysHWJ6|`PO~fy;$9M~ z8Tv9g0%kUziMj`GhOa_!@f2yD))G>^=5-E$^Z*Zuni8HU4gh{*eU{_*2By-8PgEN( z-LlWJuPzSnd|uv|_o%b_L~HbNiu93*01^4b$9Nh{d2Dm(TLT1!<@FlrTKh3D=Ai2K>!+ZQQ#2?*HFf-VV zxqhMVx~ipZ()@2t1Yxd_4OfSq!=EymXP-80z?)G%X~u^vf8w9?UDz7VCli@cpvF^- zy&sq>nn@wx=aWa9RlpAA+HFRt)V@LAA%~{qmJ;;0d~y|aJ60r@MOhH`)vJj^MTS-x zs$ReFsj>+V$3~pBnrzup+|uE~%%Uo- zBTqGR$1k7Kls69UEhHrjRbaYqict|@#UomgY#oXwmFaym+AedtBo|^)ar<4uWF}hD z^KX|#s4rOGmW5NUW%8Lr9s(pEwz+Az24l1^lQXG_IoQUE(0G`Pjw+=-M1|gt!_07l zxo((94NDlQ)qe?;)(D8}OU=jotll&BKyQy+xk*klwX3Kv4spT0Do=`IZ>-_ZI3`zm z2uCW~kc$JSceS+zx3$qn317tR+H?9RR914eFZYeuntr?LWv|q&bSX;{{8n^f81`7m z>vIJoD_9%s!~3YO&2`nD)a$4!4Bv(DY;aHkqqD9%BL_M>{lC2A`lrqx0C~(yMr8XJ z+WF`;LRyidYEIOKkoi=x9@hf@l}!CT$lu?v^Brp=>&e&&DU>Iacl(SzKw}24Dl%5+ zQ3M^K2N_=oRY;sf1&0N(E7^KIZ3b+y^zYvJ0zptH~fK>|Idw zgi>Q@BsKYT=~dVB?F)}SXd03;Ypv$FM_N38%eX@jI(nl)4HKN+z`3XIf0C-+{ zD$&c@*vlRFVRvYvtdX>$z@~HM{K?(NQuMab;?5VwZHgoN_*t8vBJx(A5$@FWQ;p%C zv$m8*zdB8K<`;$}g%eLd?D8u67lG8ct~FOh%eJAKE!tsxtBIa$RJP`tO-sl{p;Miz z?%&m;maJkTMV;|6J&qCsE*shgEt!#C5}}k|;4DQZUaEE!S-UP&*{~L@1?q)%oMce-r>&f^Nx8{Okw1(+=1s|dpL~Y%(%AlutXT+(-n($XGPUEJI(RelIqrKG z_I~rBEBg<_He}8=}sT51a_j_l-NfK}sF)Stcw(Vilz7|_kBjCdQ z*8ePwPslsY)_)K{)sHjvb@3sw6}0qXtmUpZvlv6PWk0>iLYzy1&a6c7TOYjxoBdMz zxz1mzNHTjmJFagJG6VSaKg1}MWrFN`2p6sdUGDsqfRB*QrrKr(jJFwM9F!#em`p-P zDP!9G&0~LcC*#!`nSMyutx09Q38h%ZcJ zz!m9KlZA%3r^II!ACdKogfDGt!UG8Y5T8`EBE7arw*ZDsvQMSFHJ=nKN?UU;>sV`4 z%C?T)l`x$MlQ!H8AcC@Vi8_X9_yf%2>ifSyEX2Pnh+jGgebQL3!`*Xj4i;8=t0GTo zpNR=7zxP<^B8BB{=d|mG2V`reyk_BdO}#G5yI|x_s+$;dMeQXin6zk32Grgvdli{~ zu9){sdV)v^5*Mm{ZqB?XRqQz6a7qvkoS=`^^2xy@D+x``5eDE+4Acm4Hom_FtNI+@ z8%xT^>U&(`BIkmBqDU4LO{9KNXTEs-2)vI3I$I$|w|TBtA0vyFaH;%b2_Nh@Zt!L( znGw>BR@Aw=HG+1m5lOw4m+P&IeVJ-B~7F<_`Uih_y+I=^@ROeI*w1N3$p{0-tI>7`i}5P_OK> zrua0ghVR4IzGI(Kfi+m)&H#l{!m2inmZD>iM_vFWv1;s;EI|6-*>NVeBl^0SA?2%! z+-HUw_QqQ4fe;1$&`I}~+~jz|l+YSTg`xRzXdS4+)(K}vB0zGeS1e%v{vUQQ<{t&O zX?A4oc$;)Jf3(&m0_IHiSd5xA&t<0{LiX+EZa4w{-_~BLlt7yd;f86L(gYVC^3G+> z(IDQ9Fy~>fROc$G39PYrSm_G;m~TE4QXOIQF65_m5sBcJxxL|c+DW4vU+$Q!@cnIy zh8QrQ4ZLMnA3u~fnaA#HWr#*Lrc4F$r+P7E8mz_*PxGVY8)S#8+4H z66lOyetLQJ({#X$C#GAAw;mDCTuV80#@3MK{*_^y_uDCFieRQ#{fI$w3~3$=j+Un$ z(SbP&<`-9_e&OH}hMF&?$J2KSa9xK1752*hC=A#K(=Lr$0)4ux#I4xGg6XG%5rQWY zBLpor2Hd6#*L`7)>AOwMwCrn4B+0q9rC+Ky& zxB98fUYT6n!G9E6!B8+QCQzAM(drt**>@Q;GS!|K&HqE) z6{9fEQn>#At$quppHUY^*31a~2$#qIkbC@sOg~ z+A>bBDQj`0;RsV7xzt%6%flWA%7lcD$#w1iYR)UVwC-JJSjR^#*uSfIF}<9lXYG&O z{&_G*$oC}Bi)i5z)X$!CbREwQ>7-C(VK3h#;f_3%e(h{D^cxO419%<%NeJvi3;U|) zuHvsqz9M}`-4F5B@pa5!&87cyZ*^J3np&MAOH9Pl`jsImjhiU(8+>s&_Q%7A`<=i< zqYbp`ZxfFQ`$XERk97e4dgFfX@2#c%^)PAL={f$a{%?$OT+dwzT+<)x&mpB zhuxIsYo$r)Qq&SZ238UFv}yX? z^%InWwdaxNz$V|CYH};2^(Cv=#P7HBIU)Q>#nI4_$#IKi=3au&wttg$V~Q;R30koY zF$zju3}h(WMa@VYP(es4mx2?3SxlUQNrPY{AGfJaULGIo!3^68@EBs0%<2f(!Y5mZ zj1f~TN+AUl<302$Y%~E|L~I)C7bh{Fp5Bs*{l?EK#8ICu$mZk52?)I3-*GOI5JQqq z;*#x`>`;tEuRy;!7o2_`AH{Oomup;RHGfLM9}=6vC-nVh)Xa@ z@dS*d_*6`g>dd}lZizS*BS7|(5GFmW;bS%p_}4z4rAynDA@RuoK}7R zf~5WN*c44{ci@Lo-i3h~`?Q82Ln<@gWYI*}6-U_1(aY4txwv1k_Ed2kJ?Hc6jh${~ z&zz_8q=&amQ4Dy60(HbfcK7}-!wmFU{!PZx6P2g}2oIhrj=9Vf-%k^CNpRK|u6=gC zwJoAnD_y@BTD=vu7t!4M^U zx+T9B6?!fLa(_u5YlfW~&c-xg36~{S5QSIuPQG>)NcbUgKn8zC@+$S``bD|bCmaAv zdb!z?=#KMF8CE=9uzH`lPKWj_U-{&?T{U9rZFra3Cu~WF_S<@FWSP zyL%^`MR_^AxYNJ^NteQd|Em&N8v$P}e|>$8JW{%2vL>b>(sTgzj@ z<&4!OD*N|-j>8jI-}VyrG8b{sMc2$B_RlmyC9LwJ3SQupuT-kqGib-)#gu&wI=2$o zlA`;&Xf>;I8zLbU>6ksJ$60#IFG*!U-IZ!jExphoG4q_`Cr7z(;o}cw3s^MphPRv) zBN;C&?EX$=7>4NeH%rD;nnYg6P+ic6c^PfXH#jTVnAhk%Xr81$$mpek6@nO&$dHCb zhZ-g-_*_NE0>PO$Ehcbd-CS_j5p!@hxO1<(2#b(8&Q28kuh7lmkq{habG7teZbaylgYc7 zMOO4pril8|o9EY(bWE#iV)p!shd>eMgug4b1%&r4;aBCN&Mifb+Xh!E!Dt4oe#ADgkKurl({M? z&vKEQVRZF!L3ZS!%}YIwNG|OCjK=&|JaN;JcENg}`iElN&AiocZX32P1w(Pg>#Up1 zXp7%3BdVz%B}jA1hZ?6Ut}U@2-Lh!O|JxA= z+`H27?jhV}kW z?8JvpI81O6fL z-Qr1?IWSBzrht6K5V0F_W-$M$=fx8nr3Q-28>5`~$}7sREn{4Z0)G$IY@ey!{q%Rg z7Wf-o@I)olsmzpzc>VK>_J1Ha}8YVZE30GyfaV(!KzD z;JBRestf*ec`m$q0!Jtl@*su;GbD`IN3L;!IED2s`zHCYUcgDe@G>AA1h}JUaaZK2LFnF5xc>*nV z?%$KdPx~FjFdckLA4O#x#tD`F%&={|nxN;=Qbk`h4A+2}>;!TDs&7U4Dz>1n?b0m- z4$sKM<_*1(!e&6&0vAzphp+x6u@fpiukOMf*q`1zdX&SoJlazQFzN}{kBEnH zv2#)I@#vY^VSEq{j7MVVfZ1-V2&4nj>FLnrRkh`KGgFUB@Qji$d+R;S-uevVr*J(k z3#2w2d5+nMKf-LV>r4#`&oDcCE(<;$#qC85S(k4ZXTX`P1e4buLu9n05MX$Oxfp%Z z<9^FiwRZ7vJN0X`o%jSpFW!%fy_^RlftD@C3t9h4t`8wr0wS!{`87UaKKhr_R#tM0 zBxs@jZmb3;;u$Q)gg9)*NNL5-qc<{xp=;)X+2)Isr00uR!HFtIVcd!%ErvD`E;8mA z$7meGGX^68j}n~72y(UKb7v_c$fsPy{c-u7?Dx(_Q?B#cj z7=NhDk~Ye>wLbIQcY3`7j9v4#4o(dG3jc zo+(sk6PZBmv$Q7?rAJ|oTPed8#J`PjmfX_shAY&3GvIcGO> zN-{;Z%u|~{dy^TQ(<|jFndA+@AZ%@jjbisX=Xj@1N%pa05R5PgZ5V_isU+`oZ>HVu zU9r*UKgCAxG3EWb*r*t$!#Jk6ZHkQ&VyG8mio&+o=rX1R?1+uhVDM{q8@II~WliT= z5|vefQpuEvaI7r-L&24|zF33uR7(kiOh4;m=dx7Y6onb5tBAmVA`+?e=c;dV_!1|$ z%j25kqnG~{y4#7rp+x?WE`44Om2b>_N);qh}v98!|mOspbUvvZ%ZXsuU5C zeYLxp3I^yam-U*Qbkh3qnK*(q<>sv7s zJ24e)q336kGHkpu=d0S>DN!}undP#s7NnL>78cZ}nMWioF5o!XIr`52AmX=|0Pb=4 zsle#s7NzanH-^ru#u4^~ai*rS8CAbo&ItwTpLtm&`et>-Hl9I*;pg)N@!Em#dC$ap z(vjm^pl$ti5U-G{!N>E~Xn|aR08GsJjE3KH?^||mBX9UGI&o6^ODbZjY7@3oF7C z3yc1LT7e9l-Tt4&M<*RUlnhX$iMc+fY0XU9*s?sBj?Sfe%CTA~aO6NS#YNSDm9;mu zWHs}8kSEq20GPCSyDJ|$g=Zb%Z0+BE_wfCu@$S^K^@gs7)hdZ#>|58#ZbI3JU{IF? zv>_(!C($_dmJY(((>t~A=PuSjAG-{}#~GA~zvIlQ``UV?joHcj5^o_AO5^05(|!%M z(Yv1}vsISuUK*t&$=^QI zud%)yiCw9ax$-~X`Q`Ct&m=oU%1YeXsqXLUtG*oNhE?*K?YX}~Ebh0*9<2|P_xso1 zlpF5a-u^Y@t10kVb_W>{>jw}Dp$a##S5g|45e0=4MK`d& zPYnadBjy3Qlx0bKR0KZ054R6?RUD2;IiGts7UhKgIwz%7o=#?D^lQ~wE9eCU7&kh4 zRKd~cG1USEDVD=zy4j0qTwVso3IZ-r-5~B(r-r8N$=gLw+nPY%_Bh+7&A%abbR5N^ zN{y4?z}g8D$Ef!WFr z=9r|bbB{?r9zO-~Z32`^_u2)C>n zNgCxrYvI**nqxY^Tp_GRF6N(nc{RcYmL53*dV){HYBWBc7)VDfbLd4$720XUjpI4t zLlW#Xp?v`iqND6;aRr^ALz39{MMVQ*zb1dBpP+tBO0OS{jX(N8=-7?IX2*8X*P(?n z*yl{jsV2&9b5)cP15CY-UAbnPJ`@&)nZ0mP`C*Gw4jg?3t)RyzpN=os<0=Syol^8~ zg~&E$V;T2TU|ti>r*KLZb}*>@^FIJNW1S&&?&Q`$!Ch%L_rPP6wsZ1dyPVS2wAnAi zw4#6!1AXZVXO(hzTj;wvD|WrIMHPqSXy}0rFcR$kM}oRTv_L%IP)&^p$3mAV zdJF|Xi!F&*FUEimXjtkJ+YAT5nb>h-Pc&1hJ4L;eJ=O2hYoneGd|+Wea1sl!dBrPT zVKm!~8Qrq>#P(XPPrkAykPX%5aEFw*iI#Xg>9hfx zTuHmZ%qET}UOM&^6iO=j;BrpUv)@eSL?Q?OV9lV&N=t;uX6@Qd?#Sn_`&Yd zim&c6{zbddk^(@499p<~a%K6Tc(0U6uBh;dNMHu?nt#hYOndHeNwbaHqAPV|_+~Kc?8Aul(&#nF@z|5sUlLqzl8AwxZ~qWAy$S#oPSi(P{FRYx-o6Q)dCzU6<~w za+!rp2kR$>C9BpDs|(wEJ0;D>8V`}VX7EZiQ>4O zM*X<1?N{=V_k^|ZY zNJU!8-?(4I0)H;JiEH#iC`#{1)J+t4PJO9{`^6MNKiuSP)#FCW7d-IFg}#;tCR*giQ)v~;?1&@$0IN%=-%bNZKmeL{j5 ze~Z1fK-1h{6OMdr=3#Iu>#4h#6ovJsOkw;ZJyYvDsq`>wm0+MNsj=|EM{12_r%G+1 za>bN60Xs`u4s88{C|Vw=aE&+;Z9{OTb>xRD>KvKmzYE>zVQ;xLo^Vk|DkTL{^#LTZ zDyd0b#>bkp6!wfcZK*WFHGqr71&k`T#^3|T{5j>;(wTk??5j&wMFIJ5wO z!YFAYGsWiUcL{}gs%9!DQ{Z8af!iTcgZeiCG#f~eXL*#DI7pjdwq_=}z8eHU*2~-TZh>;5gSBZ9U5OvE9YI>gk-DnqZ268l8}RFP}$b z-$DNEyT3ouSEU5ed`H z<4|;?sdx24vo$MAGT-z5M6=~bDKm=Of)7b13UqNdr3e}A-v6tuvjB^t`yT%aDALl> zEX^VfE}cs(f`m(#z|!3%gfuG z18T9^Lji^FC5^hhLmk=ht`u2639ag%yL^vu;&oi4y0^ismbYQBR{wRy8p?{qE>9b1 zAwlHx6P)<`SjkOR)38^#QSATMtL`*MJ&k!XMD|QUoeW_Rqaj&PJ{B>3(m+)1&6b`Y zVfW2Ke*E%sGX5nj8T#{!x>xOlZs*>lrsUwrg5`=tbLm|2*%t-unWp)4J;;0fC93 zFJE(B?6@5^Nq0KDNpoVI!98@R-bv2*G$GKvug$K(A@H3A63&oKdt=X6ZM^lCl&psH z6Jet3t%NmM?%9g8jQiGGWj9^@s5M2TNhX&Py$;`OO}$(=9mTQR`zCdL)u@5IT}H{q zmf#>Y@KC8fo8E4MT4sD}m_jdZ440U>6I=Dc`bO6NW1Chw!IV1p6T7L+Pj>~p!!~&9 z>R}6ZG|txpWYw0F?Cpe##1rzD$=BG2T9O<^1&50w)^$IB;Ws5d7JAqu>%mZu?8me{ zxM(+VLFUdDnyz!~HufE@xya@ZTT@^CYb#WRs8jOo@ac5($lH~SlH+!b-n}bEU+wao zUm0b^z%7lhr<*j)c?MC9jq#`;eQ$3h1mXoVRdk@PzF>#Olynd)O5u*`QDp(X~lXI;{ z3cuK;CIzc~`4OMfrjoTcDq$nYFCT1#RJ9%S99NjU3X`-6eVlxyx8f+ti~XS%RV`tH zU(}*F-wxK;YLBqh=Z<%abE64|WQS(2%h#OTEtRp)7GEVs8=6DGo6QO9KCmM-N(J>W zvX!0YrP;nuM&BXQ>81lotg$9y3cCpe6s)+|PIgFr7<+=QiJ+7W45n(AUhTS(A_FO0 zLS#nVTxI1UM^~c#Mu{kcDidSXILV>)%v7)lzs#@qIXR(h_u~5C5s55oO<-+UO`NJ= z!Pl)r-h1U&lORd^^og8el$A~^1pN}ul`lL?@!k-j>{kfP3AjyYztpGspDH%2Ufraq z>2H^z)YT~#mJyai*TK>{(QJC0Vj2W}H+nLz;^~V!7q;k&d5@Q_bhBrb977(VN$3_; z=k%E$tRtabi>Q-(8WUH~$}!NAkgSg~SH$Vr15o~NF<&fFp?ck`pP2+Hzem`qp%b!? zb+ulV+qrUCVXR-Bx9VV>rp||enEShl*v*-nvON!D61gh4)%0qUZ$F^cP)>B~?jYx5 z6(A7ME?5K7L)A53WNM+zx`o=sEJ*H^k3YAYa_M${z$z*5>X~Zkr9K>V+LUyHvV^MiJ8M~sgG1NVuO_W&E>1o4=1JCV-;yOU5J5;H_X*6C*+=_b`N zAy~v9dnawg#Uk0e5vozDs;j0KjfWvavr-U)K{EPGp;|P#^nsktTSytcmO;~e@~(xx zC#%00%{p_z*oRQHG@?NF*aXs&aMcZ?@<6r5M9vm4QTJ-36m$RK^GHKB!d=NxWS3{R zV{!w#zfiYlIF-MTe_P}&(j2BP2fF~q^zPLXX=ZNw75Q>BgZ3;9k?jr-vhW>~Eq@6f zPb*QP$7$1p1#N=op)Kra3=78sY&JCq>m*7~{d7=iw-tSV5Kiv-V)aya1a_w$;y2^J z!V2j$X(#JRRMoxrthm`l&0f$-Qs)Z&W4hH$FO3}xLt?{tkLktx9(v`37^9DoeoaSf z`b8HJ<4Ynm?`IJffk?X0u*drtJvXk}BLimh_gzNLHsURT&*TF09}3v-3hnnIwr=Zr z1w?h2+g)n4q21Z^dcq~uRvj|zFtjD&B9-Fr_mIvzVoL;?H;Rc=<$jqg3wy99(Df)n zHd4}!rfv&H@d?{3N;`q&x#iZ^v8BOmj_opYx;lm3SlCFnZw?IJAf>dtwvJ5o3TW>% zw~K!GgCPVksuD#X@Rtoe*^*hBbF~{LDII#_BgLXw{js#lX9GShY-m>c_Q{-0TYra? zf1q#IspCYK=E29DBjJ~mzx1*&A3X(sQiIBWM9#Jr_%jAa=0amXM{wpr)sM1;U0R5x z4kQ@o6=$!;T-#R3olmT-R5@o&HLs+Jy*?#j-*6BsF2m zvs>@$NqpMxA;Z;r!G=i%a|#dW%yviy-kdRyDjmPak4$@^Qj{+9?DobdDTPFe-N#Ep zHy%GqIcOEVGJuyAuYK^QpC|R|(j0_!uGy-kvYj5Mki#RDhl6rmljXn((`MIOo!YL= znD>(DOFV?N;!;gwq*ek`sXUC!UTms4N}&N`=^TCeMq)I(f;T1jVe~64L%)jQmw0{OBXq)ph?4U=7VfGJg@o=Qw%C>#^=yQ zs>W$SOcR z>^xq6EsRtct)r;#ljxLKe2_6Dc2Z0adup#T_n4{8p8Wh#c6l4>IQbf_UbuycIli8< z%>;QtzrMJ4N=iAdb!eAi*-&CT_0vtfPmk3MU_RC8P@$b3q<@Gmxu}n zZNW}3#&}h`x8!q=_p}#eY2x3cO0W&VinF!Ps$@AY5r|*40u%K;t@bTE=bK6p;L6i} zDGQtpv|a`Z-y-AP1gEp_px9|p?5?%B;Pm1}l#K?;#uz9U^PYUB(=rP08@hkVtQd|? zczFDZnB46FCmO}x@s@j7K-hqzi-EwT#Fd>6m2RDYSsG_jPYtj1ar|hVz;j`O!lPnd z^*QZwyY@O;iAR!7kfp^WrI`K~cY4lLHPJ}vw@?I^s`YsX+G}DPjY_XK>pp3Lpx7=N zRtQpj>nIfAzM_Fc8`oBghLIY6C|xb9NtmPto`b}#N*AmQKxsdiByqr1$?{L?rMg?( zD?IJoNBVK3dwR&`W1p8O6$Q?HI`eTBP=mhO~?N6nL2;_a>lU>ooNPtG)ZZ z$gg4IBj12y9Mrkrv|K?(aFX2O+~B<`!W&jy=k+yK2J5{De%ANJxa(Oos$BXxRoZ5c zsJTZ18Tt6_WNT%~lPBzjO0>L+BB`D9`B1#H<2*TePn?t3FZ;>YJ4*UKX2)L)*;%3GDqnwNj|qNN5$A`^CD5+5dpT9f z`5$pBZwtp1L~!bawCjTMkC{s1`R#d)m#^%m-4W(Jyq<`S_+}u^Gp)KVf~GwXt&H_p zNxDg`iKJl3TvFXwEMI{JRU9bZj2I?JrA+diS8kKmic=h4%CEv!x1U6;IItwL;0H5e zZWf0oQPwUSDY@=t-YH(Z3d7e>vx&C}DYc0^`5cdX>`3gFm?EJ8qeNU3+Yg6G6r!YM zyv%mL*Q6Z{9V1*HtBI>WcR+kYW}lT`(zh1OtmofOP|dsvJ&2}6mvD=ve70Wr;*)fd3uVri)e0kY`N8)(PZx`A{8%wd9X6II`!LC`O?}_GNWZhS8f&cv zOSiqvGCjEO{x!NTQRub@(a!w?dkvxrLX^A$EvP}8uZBv((9@8}{+NK@7wc6mk!7H0 z_d=pKV?4u92~wTp*X%)gaYG#-y`+i1k%u4wZQ1TxXJuw17Mm^}98ykRu>7W65}5H=)U`#qi)>J4>uQ)W(RD zuCA=>*>^P#T6r-Wvk@aBrhRd$MsqE^iaMv!CKC8|LCpCVOb-zybbIDx`KY%4<5KpP z38BX2b;E5}V-4S|u1n7|Ch@>*OyuG(dT2!`tu=99gnNAxGj1ltN`#r&uL#^gt&sCt zJs@y+y7Zm5Oi3@2aH@Ap7|LkDqYkld=PSB!U%|cv=A@Eg;%ES?c${7ptLMzy)d&yv zES$1P@Kpg`3=FK38ZjB4iT|!O#y+U1mFF#_TVS03P*AlqIQ(Eb;o6~Gb51(t-FvLD z_jpIXjIN(iHaMP58d9m3ZN0&+eB4&0OE&Abw99>Yy{c~1B;3le5@GuJ zNo?>LI2GKUvBz#p-&JT=5+GU2FqR_`oVs8n_;m4e0Jk z7SAE>UZ}3OU9Vm*HNrOVzKPay(z*`|Y<6UdCv7@qTMBvsc!LlS$8Ajeg6$N3iOly2 z_(;j#P@7K$M?*@~_0ml^;2&-shK1T_a}GH9!Bg+VTi&IDzu)0kNej9G&`eA?j7KKf z;E>miRB*@!Jhfyu5-6Agt9H-$0Lxwhqt*a4Q=p+O6K@(Kt3xmiQF{$2l>qzUC<$m? z02Iy})zfkgn*h!22C$J(J&uYtpv&O|8$3SvMj}`Lxt&@=>x7?JYhq*ZoG+tf4&zZR z(}+NmzoXZiO`zIr>G78A(moJ_R3*L({3VEKI9}m52KIOM*L8xHUZhT(?l6?CpC0o9 z0l=3p9CPiXfsi9jnPfhKC>~*S#zW}{e`*5L$ z$e+1KfGp&l{DBOqi7Ret0n=PLf~GDxTRC zW!}Axu6j%m-#8PA>79>~sHI5Sel~>bLa@x{>I)W#f~Dx+*%r%ksknDF+HK&V3@u|n zh*SSP354&Rn*scHnd?^k+Pd!)IGC@PnT?j@Lj7n1r&GCZRTIv#)FVi^+0^(Tvy+c8 zwJF`pW@vlJG`-PpgQ62-aa`5DqdbT!l2RG! zqh)Q*07G9qxGfhI>X0D4){x&Vk@Z=|?B_+?n@i7q$A0dl!lweHCcl5dOjJJ3R zOiPl-zX>1dl-5da6!px2KUH8}LM<|A$@NhdYR((+&tLvtSG6PtTZ7*?1{>4{ZS-q1r0{j z9h(}geD=Tc)z3>$Fe#}&SQ4A}0z(7&ADtYBW$AF~ciU#;pXKf;>^%2@p(BSx@*m4Z zavef=K5A95=rhce7&*(|J z{i80#__J#2(AApjD3P=nDDne-jtPUx=c?V9NMT@1$_&n1K^{F$#jz%B1=MhRpo{&| zIREjYkoniE*$ASwZ0ODWJvZcwj1O>^Gv#{kBYxxyl4x4yPc ztRWe)sz(0V9c>rLYg1K$OL+x-yygIhJ)1G$$tJDj&RjKbQNX~6z?UAbJ9$~D>cFJV zzc6-8X?dkyJjO0uQIC&ML2Y*`H}8QzJ+DqgU-sHZy;qbgJZwHxV%TF~&H2|AQ8iP_ zNgW1@$po31W-^V*^SCKN+HO<_-*m(lDA8<~!?)nNU<@vj6WE%wlyB2my6&@ddc`&G zPgp!I1AUZhj>bs7laJ$wikg8%(|$(RC*vIP87yX@1py4;NkWX+!DpS~AqWE{gs(Z^ za#+EAg=x}w1%r^?6jH$asnhNArZ7Q!OvK?goFsWH8t2xzE&LeIO%>BcInjIFWya%T#@+X$RUybPs zsm`M>fIydUK_E)tYD~}83aRbp5-6Z$YvW+$=4fN(;+itzwjgvRa_))4gsd zzOpdhxkw{@nBz8Lunlt@e{!K+@e_lve@4V9jxnjwR-Fj!ijD2cT;J`Rj6z=P_i7G)ayCaR z2~m636S{}h&z}gO8YZoRCP5XvzIL~c9pbKtR?AaV@yOXUP}9D;XmS%auM6+H##J=E zocK|`e)RqtaWa}yNjW|K&2Zk4v18*=1EQ1Nke;Az;ZfP1(B_~Y9?F&K8?Mfc3pnzi z|4SPrf1`{_1t#|s&Ob>T9KiJc^SS$D`Ck&DlDm}`Qrp+Z#nH`HK<$P$A&B)ygA1Um zAdo&NXK8un!i&Wv@}$qN{*!-#a!J|1P8A>!<^&f+^BbrS!2d5>QOVuM$KCaRd5TJ! zf8$V>F8<*Blz(y%p(*E7Kpx}{kO#@~8wXkW2j|bkNF|-W0hb*9HSDMS6Bw-^ZBTs$ z1PVfcK=i)>pVs{W{3Q=Y>90F+Tk&7kPx&Y7nl$ow9x$$t69i1bud|iY{U__sJ0+!C zf3t3+>0jbc`6rPJQ{SA94+4b(Ii*y;5$gy3Bf`8KU0f`65b6Rjy}wSHgz&$U_EY{z z8MnXjwjmn?x{t&K4l%#lxf2IB66Ntb11nBd>X8n|Zf_}eQ{uRU>`y1#_hd}(*AtqA( vb%>wxPu%ZkgI{q^f#b+u&JBOtdH?^x;v(SHAP^Doy^jY1q0)h40_gt%$JF5o literal 0 HcmV?d00001 diff --git a/hardware/sch.pdf b/hardware/sch.pdf new file mode 100644 index 0000000..2d8f5de --- /dev/null +++ b/hardware/sch.pdf @@ -0,0 +1,19146 @@ +%PDF-1.4 +%߬ +3 0 obj +<> +endobj +4 0 obj +<< +/Length 225709 +>> +stream +0.20 w +0 G +2 J +0 j +100 M +1.00 g +[] 0 d +0.00 1101.20 1800.00 -1101.20 re +f +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +216.000 1080.100 m +216.000 1090.100 l +216.000 20.100 m +216.000 10.100 l +412.000 1080.100 m +412.000 1090.100 l +412.000 20.100 m +412.000 10.100 l +608.000 1080.100 m +608.000 1090.100 l +608.000 20.100 m +608.000 10.100 l +804.000 1080.100 m +804.000 1090.100 l +804.000 20.100 m +804.000 10.100 l +1000.000 1080.100 m +1000.000 1090.100 l +1000.000 20.100 m +1000.000 10.100 l +1196.000 1080.100 m +1196.000 1090.100 l +1196.000 20.100 m +1196.000 10.100 l +1392.000 1080.100 m +1392.000 1090.100 l +1392.000 20.100 m +1392.000 10.100 l +1588.000 1080.100 m +1588.000 1090.100 l +1588.000 20.100 m +1588.000 10.100 l +20.000 884.100 m +10.000 884.100 l +1780.000 884.100 m +1790.000 884.100 l +20.000 688.100 m +10.000 688.100 l +1780.000 688.100 m +1790.000 688.100 l +20.000 492.100 m +10.000 492.100 l +1780.000 492.100 m +1790.000 492.100 l +20.000 296.100 m +10.000 296.100 l +1780.000 296.100 m +1790.000 296.100 l +20.000 100.100 m +10.000 100.100 l +1780.000 100.100 m +1790.000 100.100 l +S +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +11.50 982.10 Td +(A) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1781.50 982.10 Td +(A) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +11.50 786.10 Td +(B) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1781.50 786.10 Td +(B) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +11.50 590.10 Td +(C) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1781.50 590.10 Td +(C) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +11.50 394.10 Td +(D) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1781.50 394.10 Td +(D) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +11.50 198.10 Td +(E) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1781.50 198.10 Td +(E) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +118.00 1081.60 Td +(1) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +118.00 11.60 Td +(1) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +314.00 1081.60 Td +(2) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +314.00 11.60 Td +(2) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +510.00 1081.60 Td +(3) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +510.00 11.60 Td +(3) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +706.00 1081.60 Td +(4) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +706.00 11.60 Td +(4) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +902.00 1081.60 Td +(5) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +902.00 11.60 Td +(5) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1098.00 1081.60 Td +(6) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1098.00 11.60 Td +(6) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1294.00 1081.60 Td +(7) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1294.00 11.60 Td +(7) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1490.00 1081.60 Td +(8) Tj +ET +10.00 w +BT +/F1 9 Tf +9.00 TL +0.533 0.000 0.000 rg +1490.00 11.60 Td +(8) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +20.00 1080.10 1760.00 -1060.00 re +S +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +10.00 1090.10 1780.00 -1080.00 re +S +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1336.00 100.10 444.00 -80.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +1336.100 60.850 m +1779.630 60.850 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +1440.630 40.850 m +1779.630 40.850 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +1700.610 100.030 m +1700.630 60.850 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +1700.630 60.850 m +1700.630 40.850 l +S +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1341.00 87.10 Td +(TITLE:) Tj +ET +10.00 w +BT +/F1 13 Tf +13.00 TL +0.000 0.000 1.000 rg +1398.62 74.51 Td +(FPGA+DDR) Tj +ET +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1705.62 73.85 Td +(REV:) Tj +ET +10.00 w +BT +/F1 12 Tf +12.00 TL +0.000 0.000 1.000 rg +1743.62 73.85 Td +(1.0) Tj +ET +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1445.62 25.10 Td +(Date:) Tj +ET +10.00 w +BT +/F1 12 Tf +12.00 TL +0.000 0.000 1.000 rg +1492.62 24.62 Td +(2020-02-09) Tj +ET +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1704.62 45.10 Td +(Sheet:) Tj +ET +10.00 w +BT +/F1 12 Tf +12.00 TL +0.000 0.000 1.000 rg +1749.62 44.62 Td +(1/1) Tj +ET +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1584.62 24.85 Td +(Drawn By:) Tj +ET +10.00 w +BT +/F1 12 Tf +12.00 TL +0.000 0.000 1.000 rg +1649.63 24.85 Td +(wangxuan) Tj +ET +10.00 w +BT +/F1 11 Tf +11.00 TL +0.533 0.000 0.000 rg +1445.62 46.85 Td +(Company:) Tj +ET +10.00 w +BT +/F1 12 Tf +12.00 TL +0.000 0.000 1.000 rg +1512.25 46.74 Td +(Your Company) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +1440.630 60.850 m +1440.630 20.850 l +S +0.33 0.53 1.00 rg +[] 0 d +1409.609 45.265 m +1409.591 45.265 1402.434 45.265 1402.434 45.265 c +1400.615 32.586 l +1408.116 32.586 l +1408.116 32.586 l +1408.749 32.598 1409.259 33.090 1409.259 33.693 c +1409.259 34.308 1408.737 34.800 1408.098 34.800 c +1408.073 34.800 1403.447 34.794 1403.447 34.794 c +1403.939 38.156 l +1403.939 38.156 1408.688 38.150 1408.731 38.150 c +1409.382 38.150 1409.910 38.653 1409.910 39.274 c +1409.910 39.831 1409.486 40.293 1408.927 40.381 c +1404.264 40.381 l +1404.645 43.011 l +1404.645 43.011 1409.591 43.017 1409.621 43.017 c +1410.273 43.017 1410.801 43.520 1410.801 44.141 c +1410.789 44.762 1410.260 45.265 1409.609 45.265 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1422.614 39.192 m +1422.614 40.440 1422.313 41.541 1421.711 42.495 c +1421.109 43.450 1420.323 44.147 1419.358 44.592 c +1418.394 45.037 1416.926 45.260 1414.948 45.260 c +1412.840 45.260 l +1411.022 32.580 l +1415.156 32.580 l +1416.889 32.580 1418.247 32.826 1419.223 33.318 c +1420.200 33.810 1421.011 34.589 1421.650 35.661 c +1422.295 36.738 1422.614 37.916 1422.614 39.192 c +h +1419.346 36.574 m +1418.867 35.877 1418.228 35.386 1417.429 35.099 c +1416.864 34.899 1415.949 34.794 1414.683 34.794 c +1413.860 34.794 l +1415.034 43.022 l +1415.660 43.022 l +1416.686 43.022 1417.503 42.870 1418.124 42.560 c +1418.738 42.249 1419.217 41.816 1419.555 41.248 c +1419.893 40.680 1420.065 39.977 1420.065 39.140 c +1420.065 38.126 1419.825 37.271 1419.346 36.574 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1432.198 39.327 m +1430.742 38.566 l +1430.619 37.564 1429.728 36.779 1428.641 36.779 c +1427.474 36.779 1426.528 37.681 1426.528 38.794 c +1426.528 39.907 1427.474 40.809 1428.641 40.809 c +1429.089 40.809 1429.507 40.674 1429.845 40.446 c +1431.651 41.394 l +1430.674 45.137 l +1428.610 45.137 l +1421.570 32.709 l +1424.206 32.709 l +1425.686 35.350 l +1430.859 35.350 l +1431.553 32.709 l +1433.930 32.709 l +1432.198 39.327 l +h +f +0.33 0.53 1.00 rg +[] 0 d +1393.901 35.227 m +1394.054 35.063 1394.281 34.964 1394.515 34.964 c +1394.546 34.964 l +1395.006 34.964 1395.393 35.292 1395.455 35.725 c +1396.684 44.030 l +1394.914 44.030 l +1393.698 35.842 l +1393.673 35.626 1393.741 35.397 1393.901 35.227 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1396.008 34.296 m +1395.989 34.167 1395.916 34.033 1395.799 33.916 c +1395.688 33.816 1395.572 33.769 1395.430 33.769 c +1394.226 33.769 l +1393.778 33.769 1393.378 33.435 1393.317 33.008 c +1393.311 32.978 l +1393.280 32.750 1393.354 32.528 1393.507 32.358 c +1393.661 32.194 1393.888 32.094 1394.122 32.094 c +1395.326 32.094 l +1395.651 32.094 1395.946 32.153 1396.217 32.282 c +1397.052 32.610 1397.623 33.312 1397.740 34.173 c +1399.264 45.365 l +1397.513 45.365 l +1396.008 34.296 l +h +f +0.33 0.53 1.00 rg +[] 0 d +1393.366 41.148 m +1393.587 41.148 1393.765 41.318 1393.765 41.529 c +1393.765 41.570 1393.759 41.605 1393.747 41.640 c +1393.747 41.640 1393.747 41.640 1393.753 41.640 c +1393.022 44.071 l +1393.016 44.082 l +1392.832 44.551 1392.592 44.908 1392.297 45.131 c +1392.266 45.154 l +1391.922 45.365 1391.474 45.470 1390.890 45.470 c +1388.912 45.470 l +1388.353 45.470 1387.886 45.359 1387.530 45.148 c +1387.149 44.920 1386.824 44.586 1386.572 44.164 c +1386.572 44.164 1385.558 42.548 1385.030 41.711 c +1385.036 41.705 l +1385.005 41.652 1384.987 41.593 1384.987 41.529 c +1384.987 41.318 1385.165 41.148 1385.386 41.148 c +1385.392 41.148 1385.398 41.148 1385.398 41.148 c +1386.651 41.148 l +1386.750 41.306 1386.854 41.459 1386.953 41.617 c +1386.983 41.664 l +1387.309 42.202 1387.720 42.864 1388.046 43.368 c +1388.052 43.380 l +1388.138 43.520 1388.255 43.637 1388.378 43.713 c +1388.476 43.772 1388.623 43.801 1388.808 43.801 c +1390.786 43.801 l +1390.952 43.801 1391.075 43.778 1391.136 43.737 c +1391.222 43.672 1391.296 43.573 1391.333 43.467 c +1392.033 41.154 l +1393.311 41.154 l +1393.311 41.154 1393.311 41.160 1393.311 41.160 c +1393.329 41.148 1393.348 41.148 1393.366 41.148 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1391.320 40.633 m +1387.628 40.633 l +1387.118 40.633 1386.602 40.440 1386.178 40.094 c +1385.767 39.760 1385.490 39.309 1385.398 38.829 c +1384.526 34.279 l +1384.471 33.986 1384.483 33.699 1384.557 33.447 c +1384.692 32.914 1385.048 32.504 1385.552 32.293 c +1385.791 32.164 1386.068 32.100 1386.400 32.100 c +1391.505 32.100 l +1391.959 32.100 1392.359 32.422 1392.438 32.855 c +1392.445 32.885 l +1392.488 33.113 1392.426 33.336 1392.279 33.506 c +1392.131 33.670 1391.922 33.763 1391.677 33.763 c +1386.572 33.763 l +1386.461 33.763 1386.369 33.804 1386.301 33.880 c +1386.289 33.892 l +1386.252 33.933 1386.203 34.003 1386.234 34.161 c +1387.112 38.718 l +1387.131 38.806 1387.155 38.847 1387.229 38.899 c +1387.260 38.923 l +1387.303 38.964 1387.346 38.981 1387.456 38.981 c +1391.148 38.981 l +1391.198 38.981 1391.222 38.976 1391.222 38.976 c +1391.241 38.952 l +1391.253 38.935 1391.271 38.917 1391.253 38.835 c +1390.792 36.914 l +1390.761 36.756 1390.638 36.651 1390.485 36.651 c +1388.832 36.651 l +1388.384 36.651 1387.972 36.317 1387.899 35.895 c +1387.892 35.854 l +1387.856 35.637 1387.911 35.421 1388.058 35.257 c +1388.206 35.087 1388.427 34.993 1388.660 34.993 c +1390.313 34.993 l +1390.362 34.993 1390.405 34.993 1390.454 34.999 c +1390.491 34.999 1390.528 34.993 1390.565 34.993 c +1391.566 34.993 1392.303 35.602 1392.402 36.387 c +1392.463 36.604 1392.991 38.718 1392.991 38.718 c +1393.041 38.970 1393.028 39.222 1392.955 39.438 c +1392.752 40.164 1392.119 40.633 1391.320 40.633 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1381.983 33.781 m +1369.542 33.781 l +1369.413 32.487 l +1369.413 32.487 1369.413 32.487 1369.420 32.487 c +1369.420 32.487 1369.420 32.481 1369.420 32.481 c +1369.420 32.270 1369.598 32.094 1369.825 32.094 c +1369.825 32.094 1369.825 32.094 1369.825 32.094 c +1369.825 32.094 1369.825 32.094 1369.825 32.094 c +1381.878 32.094 l +1382.320 32.094 1382.714 32.428 1382.775 32.855 c +1382.781 32.896 l +1382.812 33.125 1382.738 33.353 1382.585 33.523 c +1382.425 33.687 1382.210 33.781 1381.983 33.781 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1378.333 34.150 m +1378.426 34.126 l +1378.499 34.109 1378.573 34.097 1378.647 34.097 c +1378.647 34.097 l +1378.991 34.097 1379.316 34.296 1379.470 34.601 c +1380.416 36.539 1381.141 39.579 1381.909 42.015 c +1381.915 42.033 l +1381.921 42.050 1381.921 42.062 1381.927 42.080 c +1380.109 42.080 l +1379.390 39.807 1378.733 36.949 1377.885 35.216 c +1377.787 35.005 1377.787 34.771 1377.885 34.560 c +1377.965 34.372 1378.125 34.226 1378.333 34.150 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1373.050 34.917 m +1373.112 34.542 1373.437 34.284 1373.843 34.284 c +1373.904 34.284 1373.966 34.290 1374.027 34.302 c +1374.045 34.308 l +1374.475 34.419 1374.764 34.794 1374.727 35.198 c +1373.996 41.939 l +1372.276 41.939 l +1372.276 41.939 1372.276 41.933 1372.276 41.933 c +1373.050 34.940 l +1373.050 34.917 l +h +f +0.33 0.53 1.00 rg +[] 0 d +1382.566 44.293 m +1378.168 44.293 l +1378.401 45.752 l +1377.025 45.752 l +1377.025 45.752 1377.025 45.752 1377.025 45.752 c +1377.019 45.752 1377.013 45.752 1377.000 45.752 c +1376.798 45.752 1376.632 45.605 1376.607 45.412 c +1376.607 45.412 1376.607 45.412 1376.607 45.412 c +1376.404 44.293 l +1376.404 44.293 1371.072 44.293 1371.041 44.287 c +1370.925 43.104 l +1370.918 43.081 1370.918 43.058 1370.918 43.028 c +1370.918 42.817 1371.097 42.648 1371.318 42.642 c +1371.318 42.642 1371.318 42.636 1371.318 42.636 c +1373.425 42.630 1382.468 42.636 1382.468 42.636 c +1382.929 42.636 1383.316 42.958 1383.377 43.386 c +1383.377 43.397 l +1383.408 43.631 1383.340 43.854 1383.187 44.024 c +1383.039 44.205 1382.818 44.293 1382.566 44.293 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1362.600 41.933 m +1362.158 43.040 1361.446 44.047 1360.499 44.855 c +1359.050 46.097 1357.182 46.782 1355.228 46.782 c +1353.539 46.782 1351.930 46.279 1350.572 45.330 c +1349.915 44.873 1349.349 44.328 1348.876 43.719 c +1348.581 43.760 1348.280 43.778 1347.979 43.778 c +1346.296 43.778 1344.711 43.151 1343.525 42.015 c +1342.334 40.879 1341.676 39.374 1341.676 37.769 c +1341.676 36.276 1342.254 34.847 1343.304 33.740 c +1344.140 32.861 1345.215 32.246 1346.401 31.954 c +1346.917 30.489 1348.373 29.435 1350.074 29.435 c +1352.212 29.435 1353.951 31.093 1353.951 33.131 c +1353.951 33.248 1353.945 33.371 1353.932 33.488 c +1359.375 36.211 l +1358.183 38.179 l +1352.980 35.573 l +1352.267 36.340 1351.229 36.832 1350.068 36.832 c +1348.385 36.832 1346.953 35.807 1346.413 34.378 c +1345.043 34.952 1344.085 36.252 1344.085 37.775 c +1344.085 39.819 1345.823 41.482 1347.973 41.482 c +1348.729 41.482 1349.435 41.277 1350.037 40.920 c +1350.756 42.993 1352.808 44.487 1355.222 44.487 c +1358.030 44.487 1360.346 42.466 1360.653 39.866 c +1360.751 39.877 1360.850 39.877 1360.948 39.877 c +1362.631 39.877 1363.995 38.577 1363.995 36.973 c +1363.995 35.456 1362.772 34.208 1361.212 34.080 c +1359.467 34.080 l +1359.388 34.097 1359.308 34.103 1359.228 34.103 c +1358.558 34.103 1358.011 33.582 1358.011 32.943 c +1358.011 32.334 1358.503 31.836 1359.129 31.790 c +1359.129 31.778 l +1361.206 31.778 l +1361.310 31.778 l +1361.415 31.784 l +1362.772 31.895 1364.032 32.481 1364.959 33.441 c +1365.893 34.407 1366.409 35.661 1366.409 36.973 c +1366.422 39.298 1364.812 41.271 1362.600 41.933 c +h +1350.080 34.560 m +1350.904 34.560 1351.579 33.921 1351.579 33.131 c +1351.579 32.346 1350.910 31.702 1350.080 31.702 c +1349.257 31.702 1348.581 32.340 1348.581 33.131 c +1348.581 33.921 1349.257 34.560 1350.080 34.560 c +h +f +0.33 0.53 1.00 rg +[] 0 d +1429.51 38.84 m 1429.51 39.27 1429.14 39.62 1428.69 39.62 c +1428.24 39.62 1427.87 39.27 1427.87 38.84 c +1427.87 38.41 1428.24 38.06 1428.69 38.06 c +1429.14 38.06 1429.51 38.41 1429.51 38.84 c +f +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +178.59 1050.85 Td +(MT46V64M8P-5B L IT) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +210.15 1061.76 Td +(U1.1) Tj +ET +2 J +0 j +100 M +0.50 w +0.50 0.00 0.00 RG +1.00 1.00 0.69 rg +[] 0 d +180.00 1049.20 80.00 -310.10 re +B +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 1034.60 Tm +(DQ0) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 1041.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 1039.100 m +260.000 1039.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 1024.60 Tm +(DQ1) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 1031.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 1029.100 m +260.000 1029.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 1014.60 Tm +(DQ2) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 1021.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 1019.100 m +260.000 1019.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 1004.60 Tm +(DQ3) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 1011.10 Tm +(11) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 1009.100 m +260.000 1009.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 774.60 Tm +(WE#) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 781.10 Tm +(21) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 779.100 m +180.000 779.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 764.60 Tm +(CAS#) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 771.10 Tm +(22) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 769.100 m +180.000 769.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 754.60 Tm +(RAS#) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 761.10 Tm +(23) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 759.100 m +180.000 759.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 744.60 Tm +(CS#) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 751.10 Tm +(24) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 749.100 m +180.000 749.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 864.60 Tm +(BA0) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 871.10 Tm +(26) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 869.100 m +180.000 869.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 854.60 Tm +(BA1) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 861.10 Tm +(27) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 859.100 m +180.000 859.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 934.60 Tm +(A10/AP) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 941.10 Tm +(28) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 939.100 m +180.000 939.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 1034.60 Tm +(A0) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 1041.10 Tm +(29) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 1039.100 m +180.000 1039.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 1024.60 Tm +(A1) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 1031.10 Tm +(30) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 1029.100 m +180.000 1029.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 1014.60 Tm +(A2) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 1021.10 Tm +(31) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 1019.100 m +180.000 1019.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 1004.60 Tm +(A3) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 1011.10 Tm +(32) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 1009.100 m +180.000 1009.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 994.60 Tm +(A4) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 1001.10 Tm +(35) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 999.100 m +180.000 999.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 984.60 Tm +(A5) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 991.10 Tm +(36) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 989.100 m +180.000 989.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 974.60 Tm +(A6) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 981.10 Tm +(37) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 979.100 m +180.000 979.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 964.60 Tm +(A7) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 971.10 Tm +(38) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 969.100 m +180.000 969.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 954.60 Tm +(A8) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 961.10 Tm +(39) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 959.100 m +180.000 959.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 944.60 Tm +(A9) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 951.10 Tm +(40) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 949.100 m +180.000 949.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 924.60 Tm +(A11) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 931.10 Tm +(41) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 929.100 m +180.000 929.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 914.60 Tm +(A12) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 921.10 Tm +(42) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 919.100 m +180.000 919.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 804.60 Tm +(CKE) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 811.10 Tm +(44) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 809.100 m +180.000 809.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 824.60 Tm +(CK) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 831.10 Tm +(45) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 829.100 m +180.000 829.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 188.00 814.60 Tm +(CK#) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 166.00 821.10 Tm +(46) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +160.000 819.100 m +180.000 819.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 237.51 884.60 Tm +(DM) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 891.10 Tm +(47) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 889.100 m +260.000 889.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.09 944.60 Tm +(DQS) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 951.10 Tm +(51) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 949.100 m +260.000 949.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 994.60 Tm +(DQ4) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 1001.10 Tm +(56) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 999.100 m +260.000 999.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 984.60 Tm +(DQ5) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 991.10 Tm +(59) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 989.100 m +260.000 989.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 974.60 Tm +(DQ6) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 981.10 Tm +(62) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 979.100 m +260.000 979.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 234.54 964.60 Tm +(DQ7) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 265.00 971.10 Tm +(65) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +280.000 969.100 m +260.000 969.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +505.08 1012.65 Td +(MT46V64M8P-5B L IT) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +505.08 1021.76 Td +(U1.2) Tj +ET +2 J +0 j +100 M +0.50 w +0.50 0.00 0.00 RG +1.00 1.00 0.69 rg +[] 0 d +475.00 1009.20 80.00 -110.10 re +B +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 924.60 Tm +(VDD) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 465.50 931.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 929.100 m +475.000 929.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 974.60 Tm +(VDDQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 465.50 981.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 979.100 m +475.000 979.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 524.14 974.60 Tm +(VSSQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 981.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 979.100 m +555.000 979.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 964.60 Tm +(VDDQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 465.50 971.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 969.100 m +475.000 969.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 524.14 964.60 Tm +(VSSQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 971.10 Tm +(12) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 969.100 m +555.000 969.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 954.60 Tm +(VDDQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 961.10 Tm +(15) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 959.100 m +475.000 959.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 914.60 Tm +(VDD) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 921.10 Tm +(18) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 919.100 m +475.000 919.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 904.60 Tm +(VDD) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 911.10 Tm +(33) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 909.100 m +475.000 909.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.62 924.60 Tm +(VSS) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 931.10 Tm +(34) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 929.100 m +555.000 929.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.62 914.60 Tm +(VSS) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 921.10 Tm +(48) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 919.100 m +555.000 919.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 524.14 954.60 Tm +(VSSQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 961.10 Tm +(52) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 959.100 m +555.000 959.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 944.60 Tm +(VDDQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 951.10 Tm +(55) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 949.100 m +475.000 949.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 524.14 944.60 Tm +(VSSQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 951.10 Tm +(58) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 949.100 m +555.000 949.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 934.60 Tm +(VDDQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 941.10 Tm +(61) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 939.100 m +475.000 939.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 524.14 934.60 Tm +(VSSQ) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 941.10 Tm +(64) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 939.100 m +555.000 939.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.62 904.60 Tm +(VSS) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 560.00 911.10 Tm +(66) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +575.000 909.100 m +555.000 909.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 483.00 994.60 Tm +(VREF) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 461.00 1001.10 Tm +(49) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +455.000 999.100 m +475.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +485.08 842.65 Td +(MT46V64M8P-5B L IT) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +485.08 851.76 Td +(U1.3) Tj +ET +2 J +0 j +100 M +0.50 w +0.50 0.00 0.00 RG +1.00 1.00 0.69 rg +[] 0 d +465.00 839.20 60.00 -100.10 re +B +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 824.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 455.50 831.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 829.100 m +465.000 829.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 814.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 455.50 821.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 819.100 m +465.000 819.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 804.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 811.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 809.100 m +465.000 809.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 794.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 801.10 Tm +(13) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 799.100 m +465.000 799.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 784.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 791.10 Tm +(14) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 789.100 m +465.000 789.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 774.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 781.10 Tm +(16) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 779.100 m +465.000 779.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 764.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 771.10 Tm +(17) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 769.100 m +465.000 769.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 497.56 754.60 Tm +(DNU) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 761.10 Tm +(19) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 759.100 m +525.000 759.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 473.00 754.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 451.00 761.10 Tm +(20) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +445.000 759.100 m +465.000 759.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 824.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 831.10 Tm +(25) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 829.100 m +525.000 829.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 814.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 821.10 Tm +(43) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 819.100 m +525.000 819.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 497.56 744.60 Tm +(DNU) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 751.10 Tm +(50) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 749.100 m +525.000 749.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 804.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 811.10 Tm +(53) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 809.100 m +525.000 809.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 794.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 801.10 Tm +(54) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 799.100 m +525.000 799.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 784.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 791.10 Tm +(57) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 789.100 m +525.000 789.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 774.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 781.10 Tm +(60) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 779.100 m +525.000 779.100 l +S +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 504.58 764.60 Tm +(NC) Tj +ET +BT +/F3 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.00 771.10 Tm +(63) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +545.000 769.100 m +525.000 769.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 1034.10 Tm +(A0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 1039.100 m +155.000 1034.100 l +140.000 1034.100 l +140.000 1044.100 l +155.000 1044.100 l +160.000 1039.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 1024.10 Tm +(A1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 1029.100 m +155.000 1024.100 l +140.000 1024.100 l +140.000 1034.100 l +155.000 1034.100 l +160.000 1029.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 1014.10 Tm +(A2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 1019.100 m +155.000 1014.100 l +140.000 1014.100 l +140.000 1024.100 l +155.000 1024.100 l +160.000 1019.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 1004.10 Tm +(A3) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 1009.100 m +155.000 1004.100 l +140.000 1004.100 l +140.000 1014.100 l +155.000 1014.100 l +160.000 1009.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 994.10 Tm +(A4) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 999.100 m +155.000 994.100 l +140.000 994.100 l +140.000 1004.100 l +155.000 1004.100 l +160.000 999.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 984.10 Tm +(A5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 989.100 m +155.000 984.100 l +140.000 984.100 l +140.000 994.100 l +155.000 994.100 l +160.000 989.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 974.10 Tm +(A6) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 979.100 m +155.000 974.100 l +140.000 974.100 l +140.000 984.100 l +155.000 984.100 l +160.000 979.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 964.10 Tm +(A7) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 969.100 m +155.000 964.100 l +140.000 964.100 l +140.000 974.100 l +155.000 974.100 l +160.000 969.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 954.10 Tm +(A8) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 959.100 m +155.000 954.100 l +140.000 954.100 l +140.000 964.100 l +155.000 964.100 l +160.000 959.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.08 944.10 Tm +(A9) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 949.100 m +155.000 944.100 l +140.000 944.100 l +140.000 954.100 l +155.000 954.100 l +160.000 949.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 119.58 934.10 Tm +(A10) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 939.100 m +155.000 934.100 l +140.000 934.100 l +140.000 944.100 l +155.000 944.100 l +160.000 939.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 119.58 924.10 Tm +(A11) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 929.100 m +155.000 924.100 l +140.000 924.100 l +140.000 934.100 l +155.000 934.100 l +160.000 929.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 119.58 914.10 Tm +(A12) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 919.100 m +155.000 914.100 l +140.000 914.100 l +140.000 924.100 l +155.000 924.100 l +160.000 919.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 117.82 864.10 Tm +(BA0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 869.100 m +155.000 864.100 l +140.000 864.100 l +140.000 874.100 l +155.000 874.100 l +160.000 869.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 117.82 854.10 Tm +(BA1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 859.100 m +155.000 854.100 l +140.000 854.100 l +140.000 864.100 l +155.000 864.100 l +160.000 859.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 42.27 839.10 Tm +(CKP) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +85.000 844.100 m +80.000 839.100 l +65.000 839.100 l +65.000 849.100 l +80.000 849.100 l +85.000 844.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 40.40 799.10 Tm +(CKN) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +85.000 804.100 m +80.000 799.100 l +65.000 799.100 l +65.000 809.100 l +80.000 809.100 l +85.000 804.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 116.61 804.10 Tm +(CKE) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 809.100 m +155.000 804.100 l +140.000 804.100 l +140.000 814.100 l +155.000 814.100 l +160.000 809.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 121.45 774.10 Tm +(WE) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 779.100 m +155.000 774.100 l +140.000 774.100 l +140.000 784.100 l +155.000 784.100 l +160.000 779.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 117.27 764.10 Tm +(CAS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 769.100 m +155.000 764.100 l +140.000 764.100 l +140.000 774.100 l +155.000 774.100 l +160.000 769.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 117.27 754.10 Tm +(RAS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 759.100 m +155.000 754.100 l +140.000 754.100 l +140.000 764.100 l +155.000 764.100 l +160.000 759.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 125.19 744.10 Tm +(CS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +160.000 749.100 m +155.000 744.100 l +140.000 744.100 l +140.000 754.100 l +155.000 754.100 l +160.000 749.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 303.78 945.52 Tm +(DQS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 949.100 m +285.000 954.100 l +300.000 954.100 l +300.000 944.100 l +285.000 944.100 l +280.000 949.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.34 1035.55 Tm +(DQ0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 1039.100 m +285.000 1044.100 l +300.000 1044.100 l +300.000 1034.100 l +285.000 1034.100 l +280.000 1039.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 1025.55 Tm +(DQ1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 1029.100 m +285.000 1034.100 l +300.000 1034.100 l +300.000 1024.100 l +285.000 1024.100 l +280.000 1029.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 1015.55 Tm +(DQ2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 1019.100 m +285.000 1024.100 l +300.000 1024.100 l +300.000 1014.100 l +285.000 1014.100 l +280.000 1019.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 1005.55 Tm +(DQ3) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 1009.100 m +285.000 1014.100 l +300.000 1014.100 l +300.000 1004.100 l +285.000 1004.100 l +280.000 1009.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 995.55 Tm +(DQ4) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 999.100 m +285.000 1004.100 l +300.000 1004.100 l +300.000 994.100 l +285.000 994.100 l +280.000 999.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 985.55 Tm +(DQ5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 989.100 m +285.000 994.100 l +300.000 994.100 l +300.000 984.100 l +285.000 984.100 l +280.000 989.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 975.55 Tm +(DQ6) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 979.100 m +285.000 984.100 l +300.000 984.100 l +300.000 974.100 l +285.000 974.100 l +280.000 979.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 304.04 965.55 Tm +(DQ7) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 969.100 m +285.000 974.100 l +300.000 974.100 l +300.000 964.100 l +285.000 964.100 l +280.000 969.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 833.100 m +449.000 825.100 l +449.000 833.100 m +441.000 825.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 823.100 m +449.000 815.100 l +449.000 823.100 m +441.000 815.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 803.100 m +449.000 795.100 l +449.000 803.100 m +441.000 795.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 813.100 m +449.000 805.100 l +449.000 813.100 m +441.000 805.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 793.100 m +449.000 785.100 l +449.000 793.100 m +441.000 785.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 783.100 m +449.000 775.100 l +449.000 783.100 m +441.000 775.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 773.100 m +449.000 765.100 l +449.000 773.100 m +441.000 765.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +441.000 763.100 m +449.000 755.100 l +449.000 763.100 m +441.000 755.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 833.100 m +549.000 825.100 l +549.000 833.100 m +541.000 825.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 823.100 m +549.000 815.100 l +549.000 823.100 m +541.000 815.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 813.100 m +549.000 805.100 l +549.000 813.100 m +541.000 805.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 803.100 m +549.000 795.100 l +549.000 803.100 m +541.000 795.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 793.100 m +549.000 785.100 l +549.000 793.100 m +541.000 785.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 783.100 m +549.000 775.100 l +549.000 783.100 m +541.000 775.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 773.100 m +549.000 765.100 l +549.000 773.100 m +541.000 765.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 763.100 m +549.000 755.100 l +549.000 763.100 m +541.000 755.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +541.000 753.100 m +549.000 745.100 l +549.000 753.100 m +541.000 745.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 444.67 877.94 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +455.000 889.100 m +455.000 899.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +460.000 889.100 m +450.000 889.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +455.000 899.100 m +455.000 979.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 562.00 873.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +575.000 889.100 m +575.000 899.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +566.000 889.100 m +584.000 889.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +569.000 887.100 m +581.000 887.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +572.000 885.100 m +578.000 885.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +574.000 883.100 m +576.000 883.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +575.000 899.100 m +575.000 979.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 344.67 1057.27 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +355.000 1054.100 m +355.000 1044.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +350.000 1054.100 m +360.000 1054.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 342.00 928.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +355.000 944.100 m +355.000 954.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +346.000 944.100 m +364.000 944.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +349.000 942.100 m +361.000 942.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +352.000 940.100 m +358.000 940.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +354.000 938.100 m +356.000 938.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +355.000 1004.100 m +355.000 994.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +455.000 999.100 m +355.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +400.00 961.91 Td +(1uF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +400.00 970.83 Td +(C1) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +398.000 972.100 m +382.000 972.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +390.000 964.100 m +390.000 954.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +390.000 984.100 m +390.000 976.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +382.000 976.100 m +398.000 976.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +390.000 984.100 m +390.000 994.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +390.000 972.100 m +390.000 964.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +426.77 960.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +435.00 970.83 Td +(C2) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +433.000 972.100 m +417.000 972.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +425.000 964.100 m +425.000 954.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +425.000 984.100 m +425.000 976.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +417.000 976.100 m +433.000 976.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +425.000 984.100 m +425.000 994.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +425.000 972.100 m +425.000 964.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +390.000 994.100 m +390.000 999.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +425.000 994.100 m +425.000 999.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +425.000 954.100 m +355.000 954.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +160.000 829.100 m +115.000 829.100 l +115.000 844.100 l +85.000 844.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +85.000 804.100 m +115.000 804.100 l +115.000 819.100 l +160.000 819.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 558.100 m +1379.000 550.100 l +1379.000 558.100 m +1371.000 550.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 568.100 m +1379.000 560.100 l +1379.000 568.100 m +1371.000 560.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +761.000 668.100 m +769.000 660.100 l +769.000 668.100 m +761.000 660.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1185.000 959.100 m +1185.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +995.000 969.100 m +995.000 894.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 985.00 981.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +995.000 979.100 m +995.000 969.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +990.000 979.100 m +1000.000 979.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1175.00 971.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1185.000 969.100 m +1185.000 959.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1180.000 969.100 m +1190.000 969.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1175.000 979.100 m +1175.000 894.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1165.00 991.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1175.000 989.100 m +1175.000 979.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1170.000 989.100 m +1180.000 989.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1125.000 979.100 m +1125.000 894.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1115.00 991.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1125.000 989.100 m +1125.000 979.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1120.000 989.100 m +1130.000 989.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1035.000 979.100 m +1035.000 894.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1025.00 991.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1035.000 989.100 m +1035.000 979.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1030.000 989.100 m +1040.000 989.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +945.000 979.100 m +945.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1165.000 959.100 m +1165.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1115.000 959.100 m +1115.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1025.000 959.100 m +1025.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +935.000 959.100 m +935.000 894.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1165.000 969.100 m +1165.000 959.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1175.000 969.100 m +1156.000 969.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1171.000 971.100 m +1158.000 971.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1169.000 973.100 m +1162.000 973.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1166.000 975.100 m +1164.000 975.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1115.000 969.100 m +1115.000 959.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1125.000 969.100 m +1106.000 969.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1121.000 971.100 m +1108.000 971.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1119.000 973.100 m +1112.000 973.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1116.000 975.100 m +1114.000 975.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1025.000 969.100 m +1025.000 959.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1035.000 969.100 m +1016.000 969.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1031.000 971.100 m +1018.000 971.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1029.000 973.100 m +1022.000 973.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1026.000 975.100 m +1024.000 975.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +935.000 969.100 m +935.000 959.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +945.000 969.100 m +926.000 969.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +941.000 971.100 m +928.000 971.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +939.000 973.100 m +932.000 973.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +936.000 975.100 m +934.000 975.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1135.000 244.100 m +1135.000 284.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1124.34 223.93 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1135.000 234.100 m +1135.000 244.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1140.000 234.100 m +1130.000 234.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +965.000 244.100 m +965.000 284.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1145.000 229.100 m +1145.000 284.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1085.000 229.100 m +1085.000 284.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +985.000 229.100 m +985.000 284.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +915.000 229.100 m +915.000 284.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1135.00 209.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1145.000 219.100 m +1145.000 229.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1150.000 219.100 m +1140.000 219.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1079.68 210.09 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1085.000 219.100 m +1085.000 229.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1090.000 219.100 m +1080.000 219.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 974.67 209.88 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +985.000 219.100 m +985.000 229.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +990.000 219.100 m +980.000 219.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 904.67 209.88 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +915.000 219.100 m +915.000 229.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +920.000 219.100 m +910.000 219.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +925.000 249.100 m +925.000 284.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +925.000 239.100 m +925.000 249.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +915.000 239.100 m +934.000 239.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +919.000 237.100 m +932.000 237.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +921.000 235.100 m +928.000 235.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +924.000 233.100 m +926.000 233.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +995.000 249.100 m +995.000 284.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +995.000 239.100 m +995.000 249.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +985.000 239.100 m +1004.000 239.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +989.000 237.100 m +1002.000 237.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +991.000 235.100 m +998.000 235.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +994.000 233.100 m +996.000 233.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1095.000 249.100 m +1095.000 284.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1095.000 239.100 m +1095.000 249.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1085.000 239.100 m +1104.000 239.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1089.000 237.100 m +1102.000 237.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1091.000 235.100 m +1098.000 235.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1094.000 233.100 m +1096.000 233.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1155.000 249.100 m +1155.000 284.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +685.000 474.100 m +765.000 474.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +685.000 724.100 m +765.000 724.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 673.00 714.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 724.100 m +685.000 724.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 719.100 m +675.000 729.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +685.000 504.100 m +765.000 504.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +765.000 604.100 m +685.000 604.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 673.01 594.13 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 604.100 m +685.000 604.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 599.100 m +675.000 609.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 673.01 624.13 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 634.100 m +685.000 634.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 629.100 m +675.000 639.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +695.000 684.100 m +765.000 684.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +700.000 734.100 m +765.000 734.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +700.000 584.100 m +765.000 584.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 584.100 m +700.000 584.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 594.100 m +690.000 575.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +688.000 590.100 m +688.000 577.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +686.000 588.100 m +686.000 581.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +684.000 585.100 m +684.000 583.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +735.000 554.100 m +765.000 554.100 l +765.000 564.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +725.000 554.100 m +735.000 554.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +725.000 564.100 m +725.000 545.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +723.000 560.100 m +723.000 547.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +721.000 558.100 m +721.000 551.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +719.000 555.100 m +719.000 553.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +700.000 494.100 m +765.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 494.100 m +700.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 504.100 m +690.000 485.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +688.000 500.100 m +688.000 487.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +686.000 498.100 m +686.000 491.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +684.000 495.100 m +684.000 493.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +745.000 404.100 m +765.000 404.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +755.000 394.100 m +765.000 394.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +755.000 404.100 m +755.000 385.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +753.000 400.100 m +753.000 387.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +751.000 398.100 m +751.000 391.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +749.000 395.100 m +749.000 393.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1420.000 464.100 m +1375.000 464.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1420.000 494.100 m +1375.000 494.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1380.000 634.100 m +1375.000 634.100 l +1375.000 624.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1415.000 654.100 m +1375.000 654.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1435.000 454.100 m +1375.000 454.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1455.00 443.44 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 454.100 m +1435.000 454.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 459.100 m +1445.000 449.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1400.000 704.100 m +1375.000 704.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1420.00 693.44 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1410.000 704.100 m +1400.000 704.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1410.000 709.100 m +1410.000 699.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1435.000 484.100 m +1375.000 484.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1455.19 473.44 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 484.100 m +1435.000 484.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 489.100 m +1445.000 479.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1430.000 604.100 m +1430.000 614.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1375.000 614.100 m +1435.000 614.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1455.19 603.44 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 614.100 m +1435.000 614.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1445.000 619.100 m +1445.000 609.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1430.000 464.100 m +1420.000 464.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1430.000 454.100 m +1430.000 473.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1432.000 458.100 m +1432.000 471.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1434.000 460.100 m +1434.000 467.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1436.000 463.100 m +1436.000 465.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1425.000 654.100 m +1415.000 654.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1425.000 644.100 m +1425.000 663.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1427.000 648.100 m +1427.000 661.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1429.000 650.100 m +1429.000 657.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1431.000 653.100 m +1431.000 655.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1390.000 634.100 m +1380.000 634.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1390.000 624.100 m +1390.000 643.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1392.000 628.100 m +1392.000 641.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1394.000 630.100 m +1394.000 637.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1396.000 633.100 m +1396.000 635.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1415.19 633.44 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1405.000 644.100 m +1395.000 644.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1405.000 649.100 m +1405.000 639.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1400.000 764.100 m +1375.000 764.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +875.000 274.100 m +875.000 284.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 864.33 253.94 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +875.000 264.100 m +875.000 274.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +880.000 264.100 m +870.000 264.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1375.000 644.100 m +1395.000 644.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 686.41 709.10 Tm +(EPCS_ASDI) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 719.350 m +745.000 708.600 l +759.250 708.600 l +765.000 714.100 l +759.750 719.350 l +744.750 719.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 690.70 689.10 Tm +(EPCS_NCS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 699.350 m +745.000 688.600 l +759.250 688.600 l +765.000 694.100 l +759.750 699.350 l +744.750 699.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 682.12 649.10 Tm +(EPCS_DCLK) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 659.350 m +745.000 648.600 l +759.250 648.600 l +765.000 654.100 l +759.750 659.350 l +744.750 659.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 683.88 639.10 Tm +(EPCS_DATA) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 649.350 m +745.000 638.600 l +759.250 638.600 l +765.000 644.100 l +759.750 649.350 l +744.750 649.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 725.24 619.10 Tm +(TDI) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 629.350 m +745.000 618.600 l +759.250 618.600 l +765.000 624.100 l +759.750 629.350 l +744.750 629.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 720.95 569.10 Tm +(TDO) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 579.350 m +745.000 568.600 l +759.250 568.600 l +765.000 574.100 l +759.750 579.350 l +744.750 579.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 721.61 609.10 Tm +(TCK) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 619.350 m +745.000 608.600 l +759.250 608.600 l +765.000 614.100 l +759.750 619.350 l +744.750 619.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 720.95 589.10 Tm +(TMS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 599.350 m +745.000 588.600 l +759.250 588.600 l +765.000 594.100 l +759.750 599.350 l +744.750 599.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 700.82 519.10 Tm +(CLK50M) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 529.350 m +745.000 518.600 l +759.250 518.600 l +765.000 524.100 l +759.750 529.350 l +744.750 529.350 l +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 734.100 m +700.000 734.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +690.000 744.100 m +690.000 725.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +688.000 740.100 m +688.000 727.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +686.000 738.100 m +686.000 731.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +684.000 735.100 m +684.000 733.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 673.00 464.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 474.100 m +685.000 474.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 469.100 m +675.000 479.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 673.00 494.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 504.100 m +685.000 504.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +675.000 499.100 m +675.000 509.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 728.99 388.77 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +735.000 404.100 m +745.000 404.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +735.000 399.100 m +735.000 409.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +705.00 620.57 Td +(10k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +685.01 620.58 Td +(R5) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +695.00 638.10 20.00 -8.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +695.000 634.100 m +685.000 634.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +715.000 634.100 m +725.000 634.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +672.47 670.90 Td +(10k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +651.45 670.91 Td +(R4) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +665.00 688.10 20.00 -8.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +665.000 684.100 m +655.000 684.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +685.000 684.100 m +695.000 684.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +765.000 634.100 m +725.000 634.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +825.000 904.100 m +825.000 894.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +835.000 904.100 m +816.000 904.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +831.000 906.100 m +818.000 906.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +829.000 908.100 m +822.000 908.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +826.000 910.100 m +824.000 910.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 954.34 223.93 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +965.000 234.100 m +965.000 244.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +970.000 234.100 m +960.000 234.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1155.000 239.100 m +1155.000 249.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1145.000 239.100 m +1164.000 239.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1149.000 237.100 m +1162.000 237.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1151.000 235.100 m +1158.000 235.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1154.000 233.100 m +1156.000 233.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +750.00 880.57 Td +(EP4CE10E22) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +785.00 890.68 Td +(U2) Tj +ET +1 J +1 j +2.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +785.000 874.100 m +785.000 304.100 l +S +1 J +1 j +2.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +785.000 304.100 m +1355.000 304.100 l +S +1 J +1 j +2.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +1355.000 304.100 m +1355.000 874.100 l +S +1 J +1 j +2.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +1355.000 874.100 m +785.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 761.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 765.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 764.100 m +785.000 764.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 751.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 755.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 754.100 m +785.000 754.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 741.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 745.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 744.100 m +785.000 744.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 731.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 735.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 734.100 m +785.000 734.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 721.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 725.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 724.100 m +785.000 724.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 711.10 Tm +(ASDO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 715.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 714.100 m +785.000 714.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 701.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 705.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 704.100 m +785.000 704.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 691.10 Tm +(nCSO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 695.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 694.100 m +785.000 694.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 681.10 Tm +(nSTATUS) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 773.28 685.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 684.100 m +785.000 684.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 671.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 675.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 674.100 m +785.000 674.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 661.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 665.10 Tm +(11) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 664.100 m +785.000 664.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 651.10 Tm +(DCLK) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 655.10 Tm +(12) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 654.100 m +785.000 654.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 641.10 Tm +(DATA0) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 645.10 Tm +(13) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 644.100 m +785.000 644.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 631.10 Tm +(nCONFIG) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 635.10 Tm +(14) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 634.100 m +785.000 634.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 621.10 Tm +(TDI) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 625.10 Tm +(15) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 624.100 m +785.000 624.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 611.10 Tm +(TCK) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 615.10 Tm +(16) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 614.100 m +785.000 614.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 601.10 Tm +(VCCIO1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 605.10 Tm +(17) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 604.100 m +785.000 604.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 591.10 Tm +(TMS) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 595.10 Tm +(18) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 594.100 m +785.000 594.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 581.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 585.10 Tm +(19) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 584.100 m +785.000 584.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 571.10 Tm +(TDO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 575.10 Tm +(20) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 574.100 m +785.000 574.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 561.10 Tm +(nCE) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 565.10 Tm +(21) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 564.100 m +785.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 551.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 555.10 Tm +(22) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 554.100 m +785.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 541.10 Tm +(CLK1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 545.10 Tm +(23) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 544.100 m +785.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 521.10 Tm +(CLK2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 525.10 Tm +(24) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 524.100 m +785.000 524.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 511.10 Tm +(CLK3) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 515.10 Tm +(25) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 514.100 m +785.000 514.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 501.10 Tm +(VCCIO2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 505.10 Tm +(26) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 504.100 m +785.000 504.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 491.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 495.10 Tm +(27) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 494.100 m +785.000 494.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 481.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 485.10 Tm +(28) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 484.100 m +785.000 484.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 471.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 475.10 Tm +(29) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 474.100 m +785.000 474.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 461.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 465.10 Tm +(30) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 464.100 m +785.000 464.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 451.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 455.10 Tm +(31) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 454.100 m +785.000 454.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 441.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 445.10 Tm +(32) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 444.100 m +785.000 444.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 431.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 435.10 Tm +(33) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 434.100 m +785.000 434.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 421.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 425.10 Tm +(34) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 424.100 m +785.000 424.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 401.10 Tm +(VCCA1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 405.10 Tm +(35) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 404.100 m +785.000 404.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 788.00 391.10 Tm +(GNDA1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 767.57 395.10 Tm +(36) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +765.000 394.100 m +785.000 394.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 878.00 307.10 Tm +(VCCD_PLL1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 874.00 286.67 Tm +(37) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +875.000 284.100 m +875.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 898.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 894.00 286.67 Tm +(38) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +895.000 284.100 m +895.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 908.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 904.00 286.67 Tm +(39) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +905.000 284.100 m +905.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 918.00 307.10 Tm +(VCCIO3) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 914.00 286.67 Tm +(40) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +915.000 284.100 m +915.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 928.00 307.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 924.00 286.67 Tm +(41) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +925.000 284.100 m +925.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 938.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 934.00 286.67 Tm +(42) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +935.000 284.100 m +935.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 948.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 944.00 286.67 Tm +(43) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +945.000 284.100 m +945.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 958.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 954.00 286.67 Tm +(44) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +955.000 284.100 m +955.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 968.00 307.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 964.00 286.67 Tm +(45) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +965.000 284.100 m +965.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 978.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 974.00 286.67 Tm +(46) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +975.000 284.100 m +975.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 988.00 307.10 Tm +(VCCIO3) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 984.00 286.67 Tm +(47) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +985.000 284.100 m +985.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 998.00 307.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 994.00 286.67 Tm +(48) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +995.000 284.100 m +995.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1008.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1004.00 286.67 Tm +(49) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1005.000 284.100 m +1005.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1018.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1014.00 286.67 Tm +(50) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1015.000 284.100 m +1015.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1028.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1024.00 286.67 Tm +(51) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1025.000 284.100 m +1025.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1038.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1034.00 286.67 Tm +(52) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1035.000 284.100 m +1035.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1048.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1044.00 286.67 Tm +(53) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1045.000 284.100 m +1045.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1068.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1064.00 286.67 Tm +(54) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1065.000 284.100 m +1065.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1078.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1074.00 286.67 Tm +(55) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1075.000 284.100 m +1075.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1088.00 307.10 Tm +(VCCIO4) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1084.00 286.67 Tm +(56) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1085.000 284.100 m +1085.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1098.00 307.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1094.00 286.67 Tm +(57) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1095.000 284.100 m +1095.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1108.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1104.00 286.67 Tm +(58) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1105.000 284.100 m +1105.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1118.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1114.00 286.67 Tm +(59) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1115.000 284.100 m +1115.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1128.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1124.00 286.67 Tm +(60) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1125.000 284.100 m +1125.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1138.00 307.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1134.00 286.67 Tm +(61) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1135.000 284.100 m +1135.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1148.00 307.10 Tm +(VCCIO4) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1144.00 286.67 Tm +(62) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1145.000 284.100 m +1145.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1158.00 307.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1154.00 286.67 Tm +(63) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1155.000 284.100 m +1155.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1168.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1164.00 286.67 Tm +(64) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1165.000 284.100 m +1165.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1178.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1174.00 286.67 Tm +(65) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1175.000 284.100 m +1175.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1188.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1184.00 286.67 Tm +(66) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1185.000 284.100 m +1185.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1198.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1194.00 286.67 Tm +(67) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1195.000 284.100 m +1195.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1208.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1204.00 286.67 Tm +(68) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1205.000 284.100 m +1205.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1218.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1214.00 286.67 Tm +(69) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1215.000 284.100 m +1215.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1228.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1224.00 286.67 Tm +(70) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1225.000 284.100 m +1225.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1238.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1234.00 286.67 Tm +(71) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1235.000 284.100 m +1235.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1248.00 307.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1244.00 286.67 Tm +(72) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1245.000 284.100 m +1245.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 401.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 405.10 Tm +(73) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 404.100 m +1355.000 404.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 411.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 415.10 Tm +(74) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 414.100 m +1355.000 414.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 421.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 425.10 Tm +(75) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 424.100 m +1355.000 424.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 431.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 435.10 Tm +(76) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 434.100 m +1355.000 434.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 441.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 445.10 Tm +(77) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 444.100 m +1355.000 444.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1317.23 451.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 455.10 Tm +(78) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 454.100 m +1355.000 454.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1331.36 461.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 465.10 Tm +(79) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 464.100 m +1355.000 464.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 471.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 475.10 Tm +(80) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 474.100 m +1355.000 474.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1316.71 481.10 Tm +(VCCIO5) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 485.10 Tm +(81) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 484.100 m +1355.000 484.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1331.36 491.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 495.10 Tm +(82) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 494.100 m +1355.000 494.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 501.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 505.10 Tm +(83) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 504.100 m +1355.000 504.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 511.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 515.10 Tm +(84) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 514.100 m +1355.000 514.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 521.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 525.10 Tm +(85) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 524.100 m +1355.000 524.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 531.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 535.10 Tm +(86) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 534.100 m +1355.000 534.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 541.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 545.10 Tm +(87) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 544.100 m +1355.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1328.77 551.10 Tm +(CLK7) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 555.10 Tm +(88) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 554.100 m +1355.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1328.77 561.10 Tm +(CLK6) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 565.10 Tm +(89) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 564.100 m +1355.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1328.77 581.10 Tm +(CLK5) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 585.10 Tm +(90) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 584.100 m +1355.000 584.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1328.77 591.10 Tm +(CLK4) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 595.10 Tm +(91) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 594.100 m +1355.000 594.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1294.59 601.10 Tm +(CONF_DONE) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 605.10 Tm +(92) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 604.100 m +1355.000 604.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1316.71 611.10 Tm +(VCCIO6) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 615.10 Tm +(93) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 614.100 m +1355.000 614.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1321.87 621.10 Tm +(MSEL0) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 625.10 Tm +(94) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 624.100 m +1355.000 624.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1331.36 631.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 635.10 Tm +(95) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 634.100 m +1355.000 634.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1321.87 641.10 Tm +(MSEL1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 645.10 Tm +(96) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 644.100 m +1355.000 644.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1321.87 651.10 Tm +(MSEL2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 655.10 Tm +(97) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 654.100 m +1355.000 654.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 661.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 665.10 Tm +(98) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 664.100 m +1355.000 664.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 671.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 675.10 Tm +(99) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 674.100 m +1355.000 674.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 681.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 685.10 Tm +(100) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 684.100 m +1355.000 684.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1312.31 691.10 Tm +(IO/nCEO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 695.10 Tm +(101) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 694.100 m +1355.000 694.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1317.23 701.10 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 705.10 Tm +(102) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 704.100 m +1355.000 704.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 711.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 715.10 Tm +(103) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 714.100 m +1355.000 714.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 721.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 725.10 Tm +(104) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 724.100 m +1355.000 724.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 731.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 735.10 Tm +(105) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 734.100 m +1355.000 734.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1341.14 741.10 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 745.10 Tm +(106) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 744.100 m +1355.000 744.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1321.43 761.10 Tm +(VCCA2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 765.10 Tm +(107) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 764.100 m +1355.000 764.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1319.50 771.10 Tm +(GNDA2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +1.00 -0.00 0.00 1.00 1361.00 775.10 Tm +(108) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1375.000 774.100 m +1355.000 774.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1268.00 818.59 Tm +(VCCD_PLL2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1264.00 880.10 Tm +(109) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1265.000 894.100 m +1265.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1248.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1244.00 880.10 Tm +(110) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1245.000 894.100 m +1245.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1238.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1234.00 880.10 Tm +(111) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1235.000 894.100 m +1235.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1228.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1224.00 880.10 Tm +(112) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1225.000 894.100 m +1225.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1218.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1214.00 880.10 Tm +(113) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1215.000 894.100 m +1215.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1208.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1204.00 880.10 Tm +(114) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1205.000 894.100 m +1205.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1198.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1194.00 880.10 Tm +(115) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1195.000 894.100 m +1195.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1188.00 836.33 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1184.00 880.10 Tm +(116) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1185.000 894.100 m +1185.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1178.00 835.81 Tm +(VCCIO7) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1174.00 880.10 Tm +(117) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1175.000 894.100 m +1175.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1168.00 850.46 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1164.00 880.10 Tm +(118) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1165.000 894.100 m +1165.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1158.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1154.00 880.10 Tm +(119) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1155.000 894.100 m +1155.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1148.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1144.00 880.10 Tm +(120) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1145.000 894.100 m +1145.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1138.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1134.00 880.10 Tm +(121) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1135.000 894.100 m +1135.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1128.00 835.81 Tm +(VCCIO7) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1124.00 880.10 Tm +(122) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1125.000 894.100 m +1125.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1118.00 850.46 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1114.00 880.10 Tm +(123) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1115.000 894.100 m +1115.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1108.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1104.00 880.10 Tm +(124) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1105.000 894.100 m +1105.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1098.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1094.00 880.10 Tm +(125) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1095.000 894.100 m +1095.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1088.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1084.00 880.10 Tm +(126) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1085.000 894.100 m +1085.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1078.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1074.00 880.10 Tm +(127) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1075.000 894.100 m +1075.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1058.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1054.00 880.10 Tm +(128) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1055.000 894.100 m +1055.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1048.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1044.00 880.10 Tm +(129) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1045.000 894.100 m +1045.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1038.00 835.81 Tm +(VCCIO8) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1034.00 880.10 Tm +(130) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1035.000 894.100 m +1035.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1028.00 850.46 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1024.00 880.10 Tm +(131) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1025.000 894.100 m +1025.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1018.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1014.00 880.10 Tm +(132) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1015.000 894.100 m +1015.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1008.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 1004.00 880.10 Tm +(133) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +1005.000 894.100 m +1005.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 998.00 836.33 Tm +(VCCINT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 994.00 880.10 Tm +(134) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +995.000 894.100 m +995.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 988.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 984.00 880.10 Tm +(135) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +985.000 894.100 m +985.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 978.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 974.00 880.10 Tm +(136) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +975.000 894.100 m +975.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 968.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 964.00 880.10 Tm +(137) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +965.000 894.100 m +965.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 958.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 954.00 880.10 Tm +(138) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +955.000 894.100 m +955.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 948.00 835.81 Tm +(VCCIO8) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 944.00 880.10 Tm +(139) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +945.000 894.100 m +945.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 938.00 850.46 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 934.00 880.10 Tm +(140) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +935.000 894.100 m +935.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 928.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 924.00 880.10 Tm +(141) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +925.000 894.100 m +925.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 918.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 914.00 880.10 Tm +(142) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +915.000 894.100 m +915.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 908.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 904.00 880.10 Tm +(143) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +905.000 894.100 m +905.000 874.100 l +S +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 898.00 860.24 Tm +(IO) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 894.00 880.10 Tm +(144) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +895.000 894.100 m +895.000 874.100 l +S +10.00 w +BT +/F3 37 Tf +37.00 TL +0.553 0.137 0.137 rg +995.00 609.10 Td +(ALTERA) Tj +T* (Cyclone IV) Tj +T* (EP4CE10E22) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +885.000 534.100 m +885.000 774.100 l +785.000 774.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 881.30 638.98 Tm +(BANK1) Tj +ET +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 879.70 443.43 Tm +(BANK2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +885.000 304.100 m +885.000 404.100 l +1055.000 404.100 l +1055.000 304.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +945.01 388.08 Td +(BANK3) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1055.000 404.100 m +1255.000 404.100 l +1255.000 304.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +1127.44 387.76 Td +(BANK4) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1355.000 574.100 m +1275.000 574.100 l +1275.000 394.100 l +1355.000 394.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1289.70 463.43 Tm +(BANK5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +785.000 414.100 m +885.000 414.100 l +885.000 534.100 l +785.000 534.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1355.000 754.100 m +1275.000 754.100 l +1275.000 574.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1289.70 643.43 Tm +(BANK6) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1255.000 874.100 m +1255.000 784.100 l +1065.000 784.100 l +1065.000 874.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1065.000 784.100 m +885.000 784.100 l +885.000 874.100 l +S +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +1127.44 787.75 Td +(BANK7) Tj +ET +10.00 w +BT +/F1 16 Tf +16.00 TL +0.000 0.000 1.000 rg +945.01 787.90 Td +(BANK8) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 828.00 852.60 Tm +(PAD) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.553 0.137 0.137 rg +0.00 1.00 -1.00 0.00 824.00 880.10 Tm +(145) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +825.000 894.100 m +825.000 874.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1415.00 590.66 Td +(10k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1395.00 590.67 Td +(R6) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +1400.00 608.10 20.00 -8.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1420.000 604.100 m +1430.000 604.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1400.000 604.100 m +1390.000 604.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1390.000 604.100 m +1375.000 604.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1430.000 494.100 m +1420.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1430.000 484.100 m +1430.000 503.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1432.000 488.100 m +1432.000 501.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1434.000 490.100 m +1434.000 497.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1436.000 493.100 m +1436.000 495.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +0.00 1.00 -1.00 0.00 1420.19 753.44 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1410.000 764.100 m +1400.000 764.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1410.000 769.100 m +1410.000 759.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1380.000 774.100 m +1375.000 774.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1390.000 774.100 m +1380.000 774.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1390.000 764.100 m +1390.000 783.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1392.000 768.100 m +1392.000 781.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1394.000 770.100 m +1394.000 777.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1396.000 773.100 m +1396.000 775.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1255.00 906.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1265.000 904.100 m +1265.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1260.000 904.100 m +1270.000 904.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 935.00 991.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +945.000 989.100 m +945.000 979.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +940.000 989.100 m +950.000 989.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +925.000 909.100 m +925.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +955.000 909.100 m +955.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +965.000 909.100 m +965.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +975.000 909.100 m +975.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +985.000 909.100 m +985.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1045.000 909.100 m +1045.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1055.000 909.100 m +1055.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1085.000 909.100 m +1085.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1095.000 909.100 m +1095.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1105.000 909.100 m +1105.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1135.000 909.100 m +1135.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1145.000 909.100 m +1145.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1005.000 909.100 m +1005.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1015.000 909.100 m +1015.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1215.000 909.100 m +1215.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1225.000 909.100 m +1225.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1075.000 909.100 m +1075.000 894.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 739.52 Tm +(A4) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 749.350 m +1395.000 738.600 l +1380.750 738.600 l +1375.000 744.100 l +1380.250 749.350 l +1395.250 749.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 729.52 Tm +(A5) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 739.350 m +1395.000 728.600 l +1380.750 728.600 l +1375.000 734.100 l +1380.250 739.350 l +1395.250 739.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 719.52 Tm +(A6) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 729.350 m +1395.000 718.600 l +1380.750 718.600 l +1375.000 724.100 l +1380.250 729.350 l +1395.250 729.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 709.52 Tm +(A7) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 719.350 m +1395.000 708.600 l +1380.750 708.600 l +1375.000 714.100 l +1380.250 719.350 l +1395.250 719.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 679.52 Tm +(A8) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 689.350 m +1395.000 678.600 l +1380.750 678.600 l +1375.000 684.100 l +1380.250 689.350 l +1395.250 689.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 669.52 Tm +(A9) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 679.350 m +1395.000 668.600 l +1380.750 668.600 l +1375.000 674.100 l +1380.250 679.350 l +1395.250 679.350 l +B +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 698.100 m +1379.000 690.100 l +1379.000 698.100 m +1371.000 690.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +0.00 1.00 -1.00 0.00 643.01 674.13 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +645.000 684.100 m +655.000 684.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +645.000 679.100 m +645.000 689.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +470.000 629.100 m +480.000 629.100 l +480.000 654.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 531.50 614.10 Tm +(CLK50M) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +530.000 624.350 m +530.000 613.600 l +515.750 613.600 l +510.000 619.100 l +515.250 624.350 l +530.250 624.350 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +490.00 605.69 Td +(33R) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +469.04 605.84 Td +(R7) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +480.00 623.10 20.00 -8.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +480.000 619.100 m +470.000 619.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +500.000 619.100 m +510.000 619.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +375.000 609.100 m +375.000 619.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +365.000 609.100 m +384.000 609.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +369.000 607.100 m +382.000 607.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +371.000 605.100 m +378.000 605.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +374.000 603.100 m +376.000 603.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +375.000 619.100 m +390.000 619.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +424.03 642.10 Td +(50MHZ) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +405.01 640.69 Td +(X1) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +0.94 g +[] 0 d +400.00 639.10 60.00 -30.00 re +B +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 405.00 626.10 Tm +(OE) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 389.29 630.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +390.000 629.100 m +400.000 629.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 405.00 616.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 389.29 620.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +390.000 619.100 m +400.000 619.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 435.79 616.10 Tm +(OUT) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 465.00 620.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +470.000 619.100 m +460.000 619.100 l +S +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 434.99 626.10 Tm +(VDD) Tj +ET +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 465.00 630.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +1.00 0.00 0.00 RG +[] 0 d +470.000 629.100 m +460.000 629.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +390.000 629.100 m +385.000 629.100 l +385.000 654.100 l +480.000 654.100 l +S +10.00 w +BT +24.00 TL +0.000 0.000 1.000 rg +375.00 569.10 Td +/F1 24 Tf +(50MHz) Tj +/F4 24 Tf +<6676632f> Tj +ET +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +550.000 474.100 m +555.000 474.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +550.000 484.100 m +555.000 484.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +550.000 494.100 m +555.000 494.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +465.00 505.68 Td +(EPCS16SI8N) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +483.97 516.10 Td +(U3) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +451.000 504.100 m +529.000 504.100 l +529.552 504.100 530.000 503.652 530.000 503.100 c +530.000 435.100 l +530.000 434.548 529.448 434.100 529.000 434.100 c +451.000 434.100 l +450.448 434.100 450.000 434.652 450.000 435.100 c +450.000 503.100 l +450.000 503.652 450.552 504.100 451.000 504.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 453.00 471.10 Tm +(nCS) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 438.29 475.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +430.000 474.100 m +450.000 474.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 453.00 491.10 Tm +(DATA) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 438.29 495.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +430.000 494.100 m +450.000 494.100 l +S +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 508.29 491.10 Tm +(VCC) Tj +ET +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 536.00 495.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +1.00 0.00 0.00 RG +[] 0 d +550.000 494.100 m +530.000 494.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 453.00 441.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 438.29 445.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +430.000 444.100 m +450.000 444.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 453.00 461.10 Tm +(ASDI) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 438.29 465.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +430.000 464.100 m +450.000 464.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 453.00 481.10 Tm +(DCLK) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 438.29 485.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +430.000 484.100 m +450.000 484.100 l +S +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 508.29 481.10 Tm +(VCC) Tj +ET +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 536.00 485.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +1.00 0.00 0.00 RG +[] 0 d +550.000 484.100 m +530.000 484.100 l +S +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 508.29 471.10 Tm +(VCC) Tj +ET +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 536.00 475.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +1.00 0.00 0.00 RG +[] 0 d +550.000 474.100 m +530.000 474.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 311.41 459.10 Tm +(EPCS_ASDI) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +370.000 469.350 m +370.000 458.600 l +384.250 458.600 l +390.000 464.100 l +384.750 469.350 l +369.750 469.350 l +B +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +430.000 464.100 m +390.000 464.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 315.70 469.10 Tm +(EPCS_NCS) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +370.000 479.350 m +370.000 468.600 l +384.250 468.600 l +390.000 474.100 l +384.750 479.350 l +369.750 479.350 l +B +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +390.000 474.100 m +430.000 474.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 307.12 479.10 Tm +(EPCS_DCLK) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +370.000 489.350 m +370.000 478.600 l +384.250 478.600 l +390.000 484.100 l +384.750 489.350 l +369.750 489.350 l +B +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +430.000 484.100 m +390.000 484.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 545.00 511.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +555.000 509.100 m +555.000 499.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +550.000 509.100 m +560.000 509.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 308.88 489.10 Tm +(EPCS_DATA) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +370.000 499.350 m +370.000 488.600 l +384.250 488.600 l +390.000 494.100 l +384.750 499.350 l +369.750 499.350 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +403.92 501.10 Td +(33R) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +403.92 510.06 Td +(R8) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +400.00 498.10 20.00 -8.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +400.000 494.100 m +390.000 494.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +420.000 494.100 m +430.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +425.000 434.100 m +425.000 444.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +415.000 434.100 m +434.000 434.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +419.000 432.100 m +432.000 432.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +421.000 430.100 m +428.000 430.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +424.000 428.100 m +426.000 428.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +425.000 444.100 m +430.000 444.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +555.000 469.100 m +555.000 499.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +555.000 419.100 m +555.000 429.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +545.000 419.100 m +564.000 419.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +549.000 417.100 m +562.000 417.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +551.000 415.100 m +558.000 415.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +554.000 413.100 m +556.000 413.100 l +S +10.00 w +BT +24.00 TL +0.000 0.000 1.000 rg +380.00 385.10 Td +/F4 24 Tf +<914d7f6e5b5850a882af7247> Tj +ET +10.00 w +BT +/F1 32 Tf +32.00 TL +0.000 0.000 1.000 rg +195.00 699.10 Td +(DDR) Tj +ET +10.00 w +BT +/F1 29 Tf +29.00 TL +0.000 0.000 1.000 rg +1030.00 164.10 Td +(FPGA) Tj +ET +10.00 w +BT +21.00 TL +0.000 0.000 1.000 rg +90.00 439.10 Td +/F1 21 Tf +(FPGA JTAG) Tj +/F4 21 Tf +<63a553e3> Tj +ET +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 225.00 596.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +235.000 594.100 m +235.000 584.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +230.000 594.100 m +240.000 594.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +235.000 584.100 m +235.000 564.100 l +230.000 564.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +230.000 534.100 m +245.000 534.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +230.000 574.100 m +245.000 574.100 l +245.000 529.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +245.000 519.100 m +245.000 529.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +235.000 519.100 m +254.000 519.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +239.000 517.100 m +252.000 517.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +241.000 515.100 m +248.000 515.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +244.000 513.100 m +246.000 513.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +226.000 548.100 m +234.000 540.100 l +234.000 548.100 m +226.000 540.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +226.000 558.100 m +234.000 550.100 l +234.000 558.100 m +226.000 550.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +130.000 484.100 m +130.000 479.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +130.000 469.100 m +130.000 479.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +120.000 469.100 m +139.000 469.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +124.000 467.100 m +137.000 467.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +126.000 465.100 m +133.000 465.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +129.000 463.100 m +131.000 463.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +130.000 524.100 m +130.000 574.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +145.000 584.100 m +145.000 554.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +145.000 624.100 m +145.000 629.100 l +115.000 629.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +115.000 584.100 m +115.000 534.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +115.000 639.100 m +115.000 624.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 105.00 651.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +115.000 649.100 m +115.000 639.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +110.000 649.100 m +120.000 649.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +156.000 548.100 m +164.000 540.100 l +164.000 548.100 m +156.000 540.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +105.000 574.100 m +160.000 574.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +105.000 564.100 m +160.000 564.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +105.000 554.100 m +160.000 554.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +105.000 534.100 m +160.000 534.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 65.24 529.10 Tm +(TDI) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +85.000 539.350 m +85.000 528.600 l +99.250 528.600 l +105.000 534.100 l +99.750 539.350 l +84.750 539.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 60.95 549.10 Tm +(TMS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +85.000 559.350 m +85.000 548.600 l +99.250 548.600 l +105.000 554.100 l +99.750 559.350 l +84.750 559.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 60.95 559.10 Tm +(TDO) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +85.000 569.350 m +85.000 558.600 l +99.250 558.600 l +105.000 564.100 l +99.750 569.350 l +84.750 569.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 61.61 569.10 Tm +(TCK) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +85.000 579.350 m +85.000 568.600 l +99.250 568.600 l +105.000 574.100 l +99.750 579.350 l +84.750 579.350 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +175.00 585.68 Td +(2x5 PINS) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +189.04 596.07 Td +(J1) Tj +ET +2 J +0 j +100 M +1.00 w +0.00 G +[] 0 d +180.00 584.10 30.00 -60.00 re +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 182.00 571.10 Tm +(1) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 169.28 575.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +160.000 574.100 m +180.000 574.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 202.28 571.10 Tm +(2) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 215.00 575.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +230.000 574.100 m +210.000 574.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 182.00 561.10 Tm +(3) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 169.28 565.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +160.000 564.100 m +180.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 202.28 561.10 Tm +(4) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 215.00 565.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +230.000 564.100 m +210.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 182.00 551.10 Tm +(5) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 169.28 555.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +160.000 554.100 m +180.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 202.28 551.10 Tm +(6) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 215.00 555.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +230.000 554.100 m +210.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 182.00 541.10 Tm +(7) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 169.28 545.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +160.000 544.100 m +180.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 202.28 541.10 Tm +(8) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 215.00 545.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +230.000 544.100 m +210.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 182.00 531.10 Tm +(9) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 169.28 535.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +160.000 534.100 m +180.000 534.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 196.57 531.10 Tm +(10) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 215.00 535.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +230.000 534.100 m +210.000 534.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +93.49 591.85 Td +(10k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +96.50 600.85 Td +(R9) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +110.00 614.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +115.000 594.100 m +115.000 584.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +115.000 614.100 m +115.000 624.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +123.49 591.85 Td +(10k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +121.49 600.85 Td +(R10) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +140.00 614.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +145.000 594.100 m +145.000 584.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +145.000 614.100 m +145.000 624.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +113.50 491.85 Td +(3k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +106.49 500.85 Td +(R11) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +125.00 514.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +130.000 494.100 m +130.000 484.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +130.000 514.100 m +130.000 524.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 469.68 666.99 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +480.000 664.100 m +480.000 654.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +475.000 664.100 m +485.000 664.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 598.100 m +1379.000 590.100 l +1379.000 598.100 m +1371.000 590.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 588.100 m +1379.000 580.100 l +1379.000 588.100 m +1371.000 580.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 908.61 243.74 Tm +(DQ1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +899.750 264.100 m +910.500 264.100 l +910.500 278.350 l +905.000 284.100 l +899.750 278.850 l +899.750 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 898.60 243.74 Tm +(DQ0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +889.750 264.100 m +900.500 264.100 l +900.500 278.350 l +895.000 284.100 l +889.750 278.850 l +889.750 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 722.16 419.10 Tm +(DQ2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 429.350 m +745.000 418.600 l +759.250 418.600 l +765.000 424.100 l +759.750 429.350 l +744.750 429.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 722.16 429.10 Tm +(DQ3) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 439.350 m +745.000 428.600 l +759.250 428.600 l +765.000 434.100 l +759.750 439.350 l +744.750 439.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 726.45 439.10 Tm +(WE) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 449.350 m +745.000 438.600 l +759.250 438.600 l +765.000 444.100 l +759.750 449.350 l +744.750 449.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 722.27 449.10 Tm +(CAS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 459.350 m +745.000 448.600 l +759.250 448.600 l +765.000 454.100 l +759.750 459.350 l +744.750 459.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 722.27 459.10 Tm +(RAS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 469.350 m +745.000 458.600 l +759.250 458.600 l +765.000 464.100 l +759.750 469.350 l +744.750 469.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 722.74 741.52 Tm +(BA1) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 738.850 m +745.000 749.600 l +759.250 749.600 l +765.000 744.100 l +759.750 738.850 l +744.750 738.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 720.40 699.59 Tm +(BA0) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 698.850 m +745.000 709.600 l +759.250 709.600 l +765.000 704.100 l +759.750 698.850 l +744.750 698.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 730.19 669.10 Tm +(CS) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 679.350 m +745.000 668.600 l +759.250 668.600 l +765.000 674.100 l +759.750 679.350 l +744.750 679.350 l +B +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +765.000 544.100 m +765.000 524.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 693.01 509.10 Tm +(UART_RX) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 519.350 m +745.000 508.600 l +759.250 508.600 l +765.000 514.100 l +759.750 519.350 l +744.750 519.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 693.56 479.10 Tm +(UART_TX) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 489.350 m +745.000 478.600 l +759.250 478.600 l +765.000 484.100 l +759.750 489.350 l +744.750 489.350 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +338.50 1011.85 Td +(3k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +336.50 1020.85 Td +(R1) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +350.00 1034.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +355.000 1014.100 m +355.000 1004.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +355.000 1034.100 m +355.000 1044.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +338.50 961.85 Td +(3k) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +336.50 970.85 Td +(R2) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +350.00 984.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +355.000 964.100 m +355.000 954.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +355.000 984.100 m +355.000 994.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +66.49 811.85 Td +(100R) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +76.50 820.85 Td +(R3) Tj +ET +2 J +0 j +100 M +1.00 w +0.63 0.00 0.00 RG +[] 0 d +90.00 834.10 10.00 -20.00 re +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +95.000 814.100 m +95.000 804.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +95.000 834.100 m +95.000 844.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1511.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1520.00 1005.83 Td +(C3) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1518.000 1007.100 m +1502.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 999.100 m +1510.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 1019.100 m +1510.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1502.000 1011.100 m +1518.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 1019.100 m +1510.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 1007.100 m +1510.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1556.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1565.00 1005.83 Td +(C4) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1563.000 1007.100 m +1547.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 999.100 m +1555.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 1019.100 m +1555.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1547.000 1011.100 m +1563.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 1019.100 m +1555.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 1007.100 m +1555.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1601.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1610.00 1005.83 Td +(C5) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1608.000 1007.100 m +1592.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 999.100 m +1600.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 1019.100 m +1600.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1592.000 1011.100 m +1608.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 1019.100 m +1600.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 1007.100 m +1600.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1646.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1655.00 1005.83 Td +(C6) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1653.000 1007.100 m +1637.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 999.100 m +1645.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 1019.100 m +1645.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1637.000 1011.100 m +1653.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 1019.100 m +1645.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 1007.100 m +1645.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1691.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1700.00 1005.83 Td +(C7) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1698.000 1007.100 m +1682.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 999.100 m +1690.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 1019.100 m +1690.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1682.000 1011.100 m +1698.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 1019.100 m +1690.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 1007.100 m +1690.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1731.77 995.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1740.00 1005.83 Td +(C8) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1738.000 1007.100 m +1722.000 1007.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1730.000 999.100 m +1730.000 989.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1730.000 1019.100 m +1730.000 1011.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1722.000 1011.100 m +1738.000 1011.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1730.000 1019.100 m +1730.000 1029.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1730.000 1007.100 m +1730.000 999.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1691.77 895.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1700.00 905.83 Td +(C10) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1698.000 907.100 m +1682.000 907.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 899.100 m +1690.000 889.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 919.100 m +1690.000 911.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1682.000 911.100 m +1698.000 911.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 919.100 m +1690.000 929.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 907.100 m +1690.000 899.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1646.77 895.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1655.00 905.83 Td +(C11) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1653.000 907.100 m +1637.000 907.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 899.100 m +1645.000 889.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 919.100 m +1645.000 911.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1637.000 911.100 m +1653.000 911.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 919.100 m +1645.000 929.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 907.100 m +1645.000 899.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1601.77 895.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1610.00 905.83 Td +(C12) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1608.000 907.100 m +1592.000 907.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 899.100 m +1600.000 889.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 919.100 m +1600.000 911.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1592.000 911.100 m +1608.000 911.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 919.100 m +1600.000 929.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 907.100 m +1600.000 899.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1556.77 895.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1565.00 905.83 Td +(C13) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1563.000 907.100 m +1547.000 907.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 899.100 m +1555.000 889.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 919.100 m +1555.000 911.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1547.000 911.100 m +1563.000 911.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 919.100 m +1555.000 929.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 907.100 m +1555.000 899.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1511.77 895.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1520.00 905.83 Td +(C14) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1518.000 907.100 m +1502.000 907.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 899.100 m +1510.000 889.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 919.100 m +1510.000 911.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1502.000 911.100 m +1518.000 911.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 919.100 m +1510.000 929.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 907.100 m +1510.000 899.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1615.00 1041.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1625.000 1039.100 m +1625.000 1029.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1620.000 1039.100 m +1630.000 1039.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 1029.100 m +1730.000 1029.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1612.00 963.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1625.000 979.100 m +1625.000 989.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1616.000 979.100 m +1634.000 979.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1619.000 977.100 m +1631.000 977.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1622.000 975.100 m +1628.000 975.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1624.000 973.100 m +1626.000 973.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 989.100 m +1730.000 989.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1615.00 941.10 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1625.000 939.100 m +1625.000 929.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1620.000 939.100 m +1630.000 939.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 929.100 m +1690.000 929.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1612.00 863.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1625.000 879.100 m +1625.000 889.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1616.000 879.100 m +1634.000 879.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1619.000 877.100 m +1631.000 877.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1622.000 875.100 m +1628.000 875.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1624.000 873.100 m +1626.000 873.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 889.100 m +1690.000 889.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 794.100 m +1730.000 794.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1612.00 768.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1625.000 784.100 m +1625.000 794.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1616.000 784.100 m +1634.000 784.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1619.000 782.100 m +1631.000 782.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1622.000 780.100 m +1628.000 780.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1624.000 778.100 m +1626.000 778.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1510.000 834.100 m +1730.000 834.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 0.000 0.502 rg +1.00 -0.00 0.00 1.00 1615.00 846.10 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1625.000 844.100 m +1625.000 834.100 l +S +1 J +1 j +1.00 w +0.00 0.00 0.50 RG +0.00 g +[] 0 d +1620.000 844.100 m +1630.000 844.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1511.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1520.00 810.83 Td +(C15) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1518.000 812.100 m +1502.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 804.100 m +1510.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 824.100 m +1510.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1502.000 816.100 m +1518.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1510.000 824.100 m +1510.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1510.000 812.100 m +1510.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1556.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1565.00 810.83 Td +(C16) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1563.000 812.100 m +1547.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 804.100 m +1555.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 824.100 m +1555.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1547.000 816.100 m +1563.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1555.000 824.100 m +1555.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1555.000 812.100 m +1555.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1601.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1610.00 810.83 Td +(C17) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1608.000 812.100 m +1592.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 804.100 m +1600.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 824.100 m +1600.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1592.000 816.100 m +1608.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1600.000 824.100 m +1600.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1600.000 812.100 m +1600.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1646.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1655.00 810.83 Td +(C18) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1653.000 812.100 m +1637.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 804.100 m +1645.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 824.100 m +1645.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1637.000 816.100 m +1653.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1645.000 824.100 m +1645.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1645.000 812.100 m +1645.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1691.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1700.00 810.83 Td +(C19) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1698.000 812.100 m +1682.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 804.100 m +1690.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 824.100 m +1690.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1682.000 816.100 m +1698.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1690.000 824.100 m +1690.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1690.000 812.100 m +1690.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1731.77 800.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1740.00 810.83 Td +(C20) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1738.000 812.100 m +1722.000 812.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1730.000 804.100 m +1730.000 794.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1730.000 824.100 m +1730.000 816.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1722.000 816.100 m +1738.000 816.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1730.000 824.100 m +1730.000 834.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +1730.000 812.100 m +1730.000 804.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +556.77 435.85 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +565.00 445.83 Td +(C21) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +563.000 447.100 m +547.000 447.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +555.000 439.100 m +555.000 429.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +555.000 459.100 m +555.000 451.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +547.000 451.100 m +563.000 451.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +555.000 459.100 m +555.000 469.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +555.000 447.100 m +555.000 439.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1155.000 909.100 m +1155.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1195.000 909.100 m +1195.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1235.000 909.100 m +1235.000 894.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1245.000 909.100 m +1245.000 894.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1249.58 930.68 Tm +(IOC21) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1239.750 929.100 m +1250.500 929.100 l +1250.500 914.850 l +1245.000 909.100 l +1239.750 914.350 l +1239.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1240.00 930.65 Tm +(IOC20) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1229.750 929.100 m +1240.500 929.100 l +1240.500 914.850 l +1235.000 909.100 l +1229.750 914.350 l +1229.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1230.00 930.60 Tm +(IOC19) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1219.750 929.100 m +1230.500 929.100 l +1230.500 914.850 l +1225.000 909.100 l +1219.750 914.350 l +1219.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1220.00 930.60 Tm +(IOC18) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1209.750 929.100 m +1220.500 929.100 l +1220.500 914.850 l +1215.000 909.100 l +1209.750 914.350 l +1209.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 937.36 238.68 Tm +(IOB0) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +940.250 264.100 m +929.500 264.100 l +929.500 278.350 l +935.000 284.100 l +940.250 278.850 l +940.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 947.36 238.67 Tm +(IOB1) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +950.250 264.100 m +939.500 264.100 l +939.500 278.350 l +945.000 284.100 l +950.250 278.850 l +950.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 957.36 238.68 Tm +(IOB2) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +960.250 264.100 m +949.500 264.100 l +949.500 278.350 l +955.000 284.100 l +960.250 278.850 l +960.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1017.36 238.67 Tm +(IOB5) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1020.250 264.100 m +1009.500 264.100 l +1009.500 278.350 l +1015.000 284.100 l +1020.250 278.850 l +1020.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1007.36 238.68 Tm +(IOB4) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1010.250 264.100 m +999.500 264.100 l +999.500 278.350 l +1005.000 284.100 l +1010.250 278.850 l +1010.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 977.36 238.68 Tm +(IOB3) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +980.250 264.100 m +969.500 264.100 l +969.500 278.350 l +975.000 284.100 l +980.250 278.850 l +980.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1027.36 238.67 Tm +(IOB6) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1030.250 264.100 m +1019.500 264.100 l +1019.500 278.350 l +1025.000 284.100 l +1030.250 278.850 l +1030.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1037.36 238.67 Tm +(IOB7) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1040.250 264.100 m +1029.500 264.100 l +1029.500 278.350 l +1035.000 284.100 l +1040.250 278.850 l +1040.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1047.36 238.67 Tm +(IOB8) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1050.250 264.100 m +1039.500 264.100 l +1039.500 278.350 l +1045.000 284.100 l +1050.250 278.850 l +1050.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1067.36 238.67 Tm +(IOB9) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1070.250 264.100 m +1059.500 264.100 l +1059.500 278.350 l +1065.000 284.100 l +1070.250 278.850 l +1070.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1077.36 233.17 Tm +(IOB10) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1080.250 264.100 m +1069.500 264.100 l +1069.500 278.350 l +1075.000 284.100 l +1080.250 278.850 l +1080.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1107.36 233.17 Tm +(IOB11) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1110.250 264.100 m +1099.500 264.100 l +1099.500 278.350 l +1105.000 284.100 l +1110.250 278.850 l +1110.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1117.36 233.17 Tm +(IOB12) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1120.250 264.100 m +1109.500 264.100 l +1109.500 278.350 l +1115.000 284.100 l +1120.250 278.850 l +1120.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1127.36 233.17 Tm +(IOB13) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1130.250 264.100 m +1119.500 264.100 l +1119.500 278.350 l +1125.000 284.100 l +1130.250 278.850 l +1130.250 263.850 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +545.00 255.69 Td +(100nF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +550.00 270.69 Td +(C22) Tj +ET +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +548.000 267.100 m +532.000 267.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +540.000 259.100 m +540.000 249.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +540.000 279.100 m +540.000 271.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +532.000 271.100 m +548.000 271.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +540.000 279.100 m +540.000 289.100 l +S +1 J +1 j +1.00 w +0.63 0.00 0.00 RG +0.00 g +[] 0 d +540.000 267.100 m +540.000 259.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 530.01 333.39 Tm +(3V3) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +540.000 329.100 m +540.000 319.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +535.000 329.100 m +545.000 329.100 l +S +10.00 w +BT +/F1 24 Tf +24.00 TL +0.000 0.000 1.000 rg +395.00 209.80 Td +(USB-UART) Tj +ET +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +395.000 294.100 m +395.000 299.100 l +420.000 299.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +395.000 284.100 m +395.000 294.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +405.000 284.100 m +386.000 284.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +401.000 282.100 m +388.000 282.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +399.000 280.100 m +392.000 280.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +396.000 278.100 m +394.000 278.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 355.32 315.51 Tm +(USB_DP) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +400.000 313.850 m +400.000 324.600 l +414.250 324.600 l +420.000 319.100 l +414.750 313.850 l +399.750 313.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 353.52 305.51 Tm +(USB_DM) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +400.000 303.850 m +400.000 314.600 l +414.250 314.600 l +420.000 309.100 l +414.750 303.850 l +399.750 303.850 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +464.03 334.12 Td +(CH340E) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +464.04 343.21 Td +(U4) Tj +ET +1.00 w +0.53 0.00 0.00 RG +0.53 0.00 0.00 rg +[] 0 d +436.50 326.10 m 436.50 326.93 435.83 327.60 435.00 327.60 c +434.17 327.60 433.50 326.93 433.50 326.10 c +433.50 325.27 434.17 324.60 435.00 324.60 c +435.83 324.60 436.50 325.27 436.50 326.10 c +B +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +432.000 331.100 m +508.000 331.100 l +509.105 331.100 510.000 330.205 510.000 329.100 c +510.000 269.100 l +510.000 267.995 508.895 267.100 508.000 267.100 c +432.000 267.100 l +430.895 267.100 430.000 268.205 430.000 269.100 c +430.000 329.100 l +430.000 330.205 431.105 331.100 432.000 331.100 c +S +1.00 w +0.53 0.00 0.00 RG +0.53 0.00 0.00 rg +[] 0 d +436.50 326.10 m 436.50 326.93 435.83 327.60 435.00 327.60 c +434.17 327.60 433.50 326.93 433.50 326.10 c +433.50 325.27 434.17 324.60 435.00 324.60 c +435.83 324.60 436.50 325.27 436.50 326.10 c +B +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 433.70 315.10 Tm +(UD+) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 423.79 320.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +420.000 319.100 m +430.000 319.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 433.70 305.10 Tm +(UD-) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 423.79 310.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +420.000 309.100 m +430.000 309.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 433.70 295.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 423.79 300.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +420.000 299.100 m +430.000 299.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 433.70 285.10 Tm +(RTS#) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 423.79 290.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +420.000 289.100 m +430.000 289.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 433.70 275.10 Tm +(CTS#) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 423.79 280.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +420.000 279.100 m +430.000 279.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 478.05 275.10 Tm +(TNOW) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.50 280.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +520.000 279.100 m +510.000 279.100 l +S +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 487.59 285.10 Tm +(VCC) Tj +ET +BT +/F1 9 Tf +9.00 TL +1.000 0.000 0.000 rg +1.00 -0.00 0.00 1.00 510.50 290.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +1.00 0.00 0.00 RG +[] 0 d +520.000 289.100 m +510.000 289.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 487.66 295.10 Tm +(TXD) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.50 300.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +520.000 299.100 m +510.000 299.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 486.95 305.10 Tm +(RXD) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.50 310.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +520.000 309.100 m +510.000 309.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 494.44 315.10 Tm +(V3) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.50 320.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +520.000 319.100 m +510.000 319.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +416.000 293.100 m +424.000 285.100 l +424.000 293.100 m +416.000 285.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +416.000 283.100 m +424.000 275.100 l +424.000 283.100 m +416.000 275.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +516.000 283.100 m +524.000 275.100 l +524.000 283.100 m +516.000 275.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +520.000 319.100 m +540.000 319.100 l +540.000 289.100 l +520.000 289.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 g +1.00 -0.00 0.00 1.00 571.51 304.11 Tm +(UART_TX) Tj +ET +1 J +1 j +1.00 w +0.00 G +1.00 g +[] 0 d +570.000 314.350 m +570.000 303.600 l +555.750 303.600 l +550.000 309.100 l +555.250 314.350 l +570.250 314.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 g +1.00 -0.00 0.00 1.00 571.51 294.11 Tm +(UART_RX) Tj +ET +1 J +1 j +1.00 w +0.00 G +1.00 g +[] 0 d +570.000 304.350 m +570.000 293.600 l +555.750 293.600 l +550.000 299.100 l +555.250 304.350 l +570.250 304.350 l +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +540.000 239.100 m +540.000 249.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +550.000 239.100 m +531.000 239.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +546.000 237.100 m +533.000 237.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +544.000 235.100 m +537.000 235.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +541.000 233.100 m +539.000 233.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +550.000 309.100 m +520.000 309.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +520.000 299.100 m +550.000 299.100 l +S +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +201.000 308.100 m +209.000 300.100 l +209.000 308.100 m +201.000 300.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +125.000 234.100 m +135.000 234.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +130.000 224.100 m +130.000 234.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +120.000 224.100 m +139.000 224.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +124.000 222.100 m +137.000 222.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +126.000 220.100 m +133.000 220.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +129.000 218.100 m +131.000 218.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +125.000 384.100 m +135.000 384.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +130.000 394.100 m +130.000 384.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +140.000 394.100 m +121.000 394.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +136.000 396.100 m +123.000 396.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +134.000 398.100 m +127.000 398.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +131.000 400.100 m +129.000 400.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +215.000 334.100 m +205.000 334.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 226.50 319.10 Tm +(USB_DM) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +225.000 329.350 m +225.000 318.600 l +210.750 318.600 l +205.000 324.100 l +210.250 329.350 l +225.250 329.350 l +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +210.000 279.100 m +210.000 289.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +200.000 279.100 m +219.000 279.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +204.000 277.100 m +217.000 277.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +206.000 275.100 m +213.000 275.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +209.000 273.100 m +211.000 273.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +210.000 289.100 m +210.000 294.100 l +205.000 294.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 226.50 309.10 Tm +(USB_DP) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +225.000 319.350 m +225.000 308.600 l +210.750 308.600 l +205.000 314.100 l +210.250 319.350 l +225.250 319.350 l +B +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +75.00 375.69 Td +(USB_MINI) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +75.00 384.70 Td +(J2) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +105.000 344.100 m +105.000 344.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +75.000 374.100 m +175.000 374.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +175.000 374.100 m +175.000 254.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +115.000 314.100 m +106.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +106.000 314.100 m +103.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +99.000 321.100 m +102.000 321.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +95.000 309.100 m +100.000 309.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +100.000 309.100 m +103.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +102.000 321.100 m +106.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +103.000 314.100 m +89.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +89.000 314.100 m +89.000 311.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +89.000 311.100 m +88.000 311.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +88.000 311.100 m +85.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +85.000 314.100 m +88.000 317.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +88.000 311.100 m +88.000 317.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +88.000 317.100 m +89.000 317.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +89.000 317.100 m +89.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +86.000 314.100 m +87.000 313.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +87.000 312.100 m +87.000 316.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +87.000 316.100 m +86.000 314.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +75.000 374.100 m +75.000 254.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +75.000 254.100 m +175.000 254.100 l +S +4.00 w +0.00 G +[] 0 d +117.00 314.10 m 117.00 315.20 116.10 316.10 115.00 316.10 c +113.90 316.10 113.00 315.20 113.00 314.10 c +113.00 313.00 113.90 312.10 115.00 312.10 c +116.10 312.10 117.00 313.00 117.00 314.10 c +S +4.00 w +0.00 G +0.00 g +[] 0 d +98.00 321.10 m 98.00 321.65 97.55 322.10 97.00 322.10 c +96.45 322.10 96.00 321.65 96.00 321.10 c +96.00 320.55 96.45 320.10 97.00 320.10 c +97.55 320.10 98.00 320.55 98.00 321.10 c +B +2 J +0 j +100 M +1.00 w +0.00 G +0.00 g +[] 0 d +92.00 311.10 5.00 -5.00 re +B +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 160.98 321.10 Tm +(D-) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +205.000 324.100 m +175.000 324.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 157.71 311.10 Tm +(D+) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +205.000 314.100 m +175.000 314.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 146.96 331.10 Tm +(VBUS) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +205.000 334.100 m +175.000 334.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 151.36 291.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +205.000 294.100 m +175.000 294.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 161.29 301.10 Tm +(ID) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +205.000 304.100 m +175.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 138.00 256.10 Tm +(SHIELD4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +135.000 234.100 m +135.000 254.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 128.00 256.10 Tm +(SHIELD1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +125.000 234.100 m +125.000 254.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 128.00 322.08 Tm +(SHIELD2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +125.000 384.100 m +125.000 364.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 138.00 322.08 Tm +(SHIELD3) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +135.000 384.100 m +135.000 364.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +220.99 345.85 Td +(SS510) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +229.04 354.18 Td +(D1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +215.000 334.100 m +230.000 334.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +255.000 334.100 m +240.000 334.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.00 g +[] 0 d +243.000 328.100 m +243.000 327.100 l +241.000 327.100 l +241.000 342.100 l +239.000 342.100 l +239.000 341.100 l +S +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +0.53 0.00 0.00 rg +[] 0 d +230.000 328.100 m +240.000 334.100 l +230.000 341.100 l +h +B +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 275.01 353.39 Tm +(VCC) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +285.000 349.100 m +285.000 339.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +280.000 349.100 m +290.000 349.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +285.000 339.100 m +285.000 334.100 l +255.000 334.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +122.27 130.83 Td +(AMS1117-3.3) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +144.04 140.80 Td +(U6) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +122.000 129.100 m +178.000 129.100 l +179.105 129.100 180.000 128.205 180.000 127.100 c +180.000 101.100 l +180.000 99.995 178.895 99.100 178.000 99.100 c +122.000 99.100 l +120.895 99.100 120.000 100.205 120.000 101.100 c +120.000 127.100 l +120.000 128.205 121.105 129.100 122.000 129.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 122.00 106.10 Tm +(In) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 109.28 110.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +100.000 109.100 m +120.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 161.68 106.10 Tm +(Out) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 185.00 110.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +200.000 109.100 m +180.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 153.00 101.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 149.00 88.38 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +150.000 79.100 m +150.000 99.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 160.14 116.10 Tm +(TAB) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 185.00 120.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +200.000 119.100 m +180.000 119.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +297.00 301.93 Td +(47uF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +297.00 310.76 Td +(C23) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +285.000 334.100 m +285.000 317.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +285.000 294.100 m +285.000 313.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +275.000 317.100 m +295.000 317.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +285.000 313.100 m +288.57 313.24 292.09 312.18 295.00 310.10 c +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +275.000 310.100 m +277.91 312.17 281.43 313.22 285.00 313.10 c +S +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +275.00 320.10 4.00 -0.10 re +B +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +277.00 322.10 0.10 -4.00 re +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +285.000 284.100 m +285.000 294.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +275.000 284.100 m +294.000 284.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +279.000 282.100 m +292.000 282.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +281.000 280.100 m +288.000 280.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +284.000 278.100 m +286.000 278.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 90.01 123.39 Tm +(VCC) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +100.000 119.100 m +100.000 109.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +95.000 119.100 m +105.000 119.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 137.00 53.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +150.000 69.100 m +150.000 79.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +141.000 69.100 m +159.000 69.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +144.000 67.100 m +156.000 67.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +147.000 65.100 m +153.000 65.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +149.000 63.100 m +151.000 63.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 190.01 138.39 Tm +(3V3) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +200.000 134.100 m +200.000 124.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +195.000 134.100 m +205.000 134.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +200.000 124.100 m +200.000 109.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +365.000 124.100 m +365.000 109.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 355.01 138.39 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +365.000 134.100 m +365.000 124.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +360.000 134.100 m +370.000 134.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 302.00 53.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +315.000 69.100 m +315.000 79.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +306.000 69.100 m +324.000 69.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +309.000 67.100 m +321.000 67.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +312.000 65.100 m +318.000 65.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +314.000 63.100 m +316.000 63.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 255.01 123.39 Tm +(VCC) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +265.000 119.100 m +265.000 109.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +260.000 119.100 m +270.000 119.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +287.27 130.83 Td +(AMS1117-2.5) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +309.04 140.80 Td +(U5) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +287.000 129.100 m +343.000 129.100 l +344.105 129.100 345.000 128.205 345.000 127.100 c +345.000 101.100 l +345.000 99.995 343.895 99.100 343.000 99.100 c +287.000 99.100 l +285.895 99.100 285.000 100.205 285.000 101.100 c +285.000 127.100 l +285.000 128.205 286.105 129.100 287.000 129.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 287.00 106.10 Tm +(In) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 274.29 110.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +265.000 109.100 m +285.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 326.68 106.10 Tm +(Out) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 350.00 110.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +365.000 109.100 m +345.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 318.00 101.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 314.00 88.38 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +315.000 79.100 m +315.000 99.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 325.14 116.10 Tm +(TAB) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 350.00 120.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +365.000 119.100 m +345.000 119.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +525.000 124.100 m +525.000 109.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 515.01 138.39 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +525.000 134.100 m +525.000 124.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +520.000 134.100 m +530.000 134.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 462.00 53.10 Tm +(GND) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +475.000 69.100 m +475.000 79.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +466.000 69.100 m +484.000 69.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +469.000 67.100 m +481.000 67.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +472.000 65.100 m +478.000 65.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +474.000 63.100 m +476.000 63.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 415.01 123.39 Tm +(VCC) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +425.000 119.100 m +425.000 109.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +420.000 119.100 m +430.000 119.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +447.27 130.83 Td +(AMS1117-1.2) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +469.04 140.80 Td +(U7) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +447.000 129.100 m +503.000 129.100 l +504.105 129.100 505.000 128.205 505.000 127.100 c +505.000 101.100 l +505.000 99.995 503.895 99.100 503.000 99.100 c +447.000 99.100 l +445.895 99.100 445.000 100.205 445.000 101.100 c +445.000 127.100 l +445.000 128.205 446.105 129.100 447.000 129.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 447.00 106.10 Tm +(In) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 434.29 110.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +425.000 109.100 m +445.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 486.68 106.10 Tm +(Out) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.00 110.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +525.000 109.100 m +505.000 109.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 478.00 101.10 Tm +(GND) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 474.00 88.38 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +475.000 79.100 m +475.000 99.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 485.14 116.10 Tm +(TAB) Tj +ET +BT +/F1 9 Tf +9.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 510.00 120.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.53 0.00 0.00 RG +[] 0 d +525.000 119.100 m +505.000 119.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.73 499.22 Tm +(IOB21) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 504.100 m +1590.000 499.100 l +1575.000 499.100 l +1575.000 509.100 l +1590.000 509.100 l +1595.000 504.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.74 509.22 Tm +(IOB19) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 514.100 m +1590.000 509.100 l +1575.000 509.100 l +1575.000 519.100 l +1590.000 519.100 l +1595.000 514.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.74 519.23 Tm +(IOB17) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 524.100 m +1590.000 519.100 l +1575.000 519.100 l +1575.000 529.100 l +1590.000 529.100 l +1595.000 524.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.76 529.26 Tm +(IOB15) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 534.100 m +1590.000 529.100 l +1575.000 529.100 l +1575.000 539.100 l +1590.000 539.100 l +1595.000 534.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.77 539.25 Tm +(IOB13) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 544.100 m +1590.000 539.100 l +1575.000 539.100 l +1575.000 549.100 l +1590.000 549.100 l +1595.000 544.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.78 549.23 Tm +(IOB11) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 554.100 m +1590.000 549.100 l +1575.000 549.100 l +1575.000 559.100 l +1590.000 559.100 l +1595.000 554.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.37 559.25 Tm +(IOB9) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 564.100 m +1590.000 559.100 l +1575.000 559.100 l +1575.000 569.100 l +1590.000 569.100 l +1595.000 564.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.37 569.25 Tm +(IOB7) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 574.100 m +1590.000 569.100 l +1575.000 569.100 l +1575.000 579.100 l +1590.000 579.100 l +1595.000 574.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.37 579.25 Tm +(IOB5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 584.100 m +1590.000 579.100 l +1575.000 579.100 l +1575.000 589.100 l +1590.000 589.100 l +1595.000 584.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.37 589.25 Tm +(IOB3) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 594.100 m +1590.000 589.100 l +1575.000 589.100 l +1575.000 599.100 l +1590.000 599.100 l +1595.000 594.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.37 599.25 Tm +(IOB1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 604.100 m +1590.000 599.100 l +1575.000 599.100 l +1575.000 609.100 l +1590.000 609.100 l +1595.000 604.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.24 501.55 Tm +(IOB20) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 504.100 m +1660.000 509.100 l +1675.000 509.100 l +1675.000 499.100 l +1660.000 499.100 l +1655.000 504.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.25 511.54 Tm +(IOB18) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 514.100 m +1660.000 519.100 l +1675.000 519.100 l +1675.000 509.100 l +1660.000 509.100 l +1655.000 514.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.23 521.52 Tm +(IOB16) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 524.100 m +1660.000 529.100 l +1675.000 529.100 l +1675.000 519.100 l +1660.000 519.100 l +1655.000 524.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.21 531.52 Tm +(IOB14) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 534.100 m +1660.000 539.100 l +1675.000 539.100 l +1675.000 529.100 l +1660.000 529.100 l +1655.000 534.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.21 541.53 Tm +(IOB12) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 544.100 m +1660.000 549.100 l +1675.000 549.100 l +1675.000 539.100 l +1660.000 539.100 l +1655.000 544.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1677.61 551.53 Tm +(IOB10) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 554.100 m +1660.000 559.100 l +1675.000 559.100 l +1675.000 549.100 l +1660.000 549.100 l +1655.000 554.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.95 561.53 Tm +(IOB8) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 564.100 m +1660.000 569.100 l +1675.000 569.100 l +1675.000 559.100 l +1660.000 559.100 l +1655.000 564.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.95 571.53 Tm +(IOB6) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 574.100 m +1660.000 579.100 l +1675.000 579.100 l +1675.000 569.100 l +1660.000 569.100 l +1655.000 574.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.95 581.53 Tm +(IOB4) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 584.100 m +1660.000 589.100 l +1675.000 589.100 l +1675.000 579.100 l +1660.000 579.100 l +1655.000 584.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.95 591.53 Tm +(IOB2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 594.100 m +1660.000 599.100 l +1675.000 599.100 l +1675.000 589.100 l +1660.000 589.100 l +1655.000 594.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.30 601.93 Tm +(IOB0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 604.100 m +1660.000 609.100 l +1675.000 609.100 l +1675.000 599.100 l +1660.000 599.100 l +1655.000 604.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1595.000 484.100 m +1595.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1595.000 474.100 m +1595.000 484.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1585.000 474.100 m +1604.000 474.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1589.000 472.100 m +1602.000 472.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1591.000 470.100 m +1598.000 470.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1594.000 468.100 m +1596.000 468.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1655.000 484.100 m +1655.000 494.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1655.000 474.100 m +1655.000 484.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1645.000 474.100 m +1664.000 474.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1649.000 472.100 m +1662.000 472.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1651.000 470.100 m +1658.000 470.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1654.000 468.100 m +1656.000 468.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1584.68 662.27 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1595.000 659.100 m +1595.000 649.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1590.000 659.100 m +1600.000 659.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1619.30 627.10 Td +(Header-Female-2.54_2x13) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1619.30 636.02 Td +(J3) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1607.000 624.100 m +1643.000 624.100 l +1644.105 624.100 1645.000 623.205 1645.000 622.100 c +1645.000 486.100 l +1645.000 484.995 1643.895 484.100 1643.000 484.100 c +1607.000 484.100 l +1605.895 484.100 1605.000 485.205 1605.000 486.100 c +1605.000 622.100 l +1605.000 623.205 1606.105 624.100 1607.000 624.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 611.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 614.100 m +1605.000 614.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 611.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 614.100 m +1645.000 614.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 601.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 604.100 m +1605.000 604.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 601.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 604.100 m +1645.000 604.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 591.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 594.100 m +1605.000 594.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 591.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 594.100 m +1645.000 594.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 581.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 584.100 m +1605.000 584.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 581.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 584.100 m +1645.000 584.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 571.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 574.100 m +1605.000 574.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 571.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 574.100 m +1645.000 574.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 561.10 Tm +(11) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 564.100 m +1605.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 561.10 Tm +(12) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 564.100 m +1645.000 564.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 551.10 Tm +(13) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 554.100 m +1605.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 551.10 Tm +(14) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 554.100 m +1645.000 554.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 541.10 Tm +(15) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 544.100 m +1605.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 541.10 Tm +(16) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 544.100 m +1645.000 544.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 531.10 Tm +(17) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 534.100 m +1605.000 534.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 531.10 Tm +(18) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 534.100 m +1645.000 534.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 521.10 Tm +(19) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 524.100 m +1605.000 524.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 521.10 Tm +(20) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 524.100 m +1645.000 524.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 511.10 Tm +(21) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 514.100 m +1605.000 514.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 511.10 Tm +(22) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 514.100 m +1645.000 514.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 501.10 Tm +(23) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 504.100 m +1605.000 504.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 501.10 Tm +(24) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 504.100 m +1645.000 504.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 491.10 Tm +(25) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 494.100 m +1605.000 494.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 491.10 Tm +(26) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 494.100 m +1645.000 494.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1595.000 649.100 m +1595.000 614.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1655.000 614.100 m +1655.000 644.100 l +1595.000 644.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1655.000 184.100 m +1655.000 194.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1655.000 174.100 m +1655.000 184.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1645.000 174.100 m +1664.000 174.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1649.000 172.100 m +1662.000 172.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1651.000 170.100 m +1658.000 170.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1654.000 168.100 m +1656.000 168.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1595.000 184.100 m +1595.000 194.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1595.000 174.100 m +1595.000 184.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1585.000 174.100 m +1604.000 174.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1589.000 172.100 m +1602.000 172.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1591.000 170.100 m +1598.000 170.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1594.000 168.100 m +1596.000 168.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.02 201.42 Tm +(IOC21) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 204.100 m +1660.000 209.100 l +1675.000 209.100 l +1675.000 199.100 l +1660.000 199.100 l +1655.000 204.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1537.97 199.34 Tm +(IOC20) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 204.100 m +1590.000 199.100 l +1575.000 199.100 l +1575.000 209.100 l +1590.000 209.100 l +1595.000 204.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.01 211.44 Tm +(IOC19) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 214.100 m +1660.000 219.100 l +1675.000 219.100 l +1675.000 209.100 l +1660.000 209.100 l +1655.000 214.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1537.98 209.31 Tm +(IOC18) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 214.100 m +1590.000 209.100 l +1575.000 209.100 l +1575.000 219.100 l +1590.000 219.100 l +1595.000 214.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.03 221.46 Tm +(IOC17) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 224.100 m +1660.000 229.100 l +1675.000 229.100 l +1675.000 219.100 l +1660.000 219.100 l +1655.000 224.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1537.95 219.30 Tm +(IOC16) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 224.100 m +1590.000 219.100 l +1575.000 219.100 l +1575.000 229.100 l +1590.000 229.100 l +1595.000 224.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.05 231.48 Tm +(IOC15) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 234.100 m +1660.000 239.100 l +1675.000 239.100 l +1675.000 229.100 l +1660.000 229.100 l +1655.000 234.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1537.93 229.30 Tm +(IOC14) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 234.100 m +1590.000 229.100 l +1575.000 229.100 l +1575.000 239.100 l +1590.000 239.100 l +1595.000 234.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.05 241.47 Tm +(IOC13) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 244.100 m +1660.000 249.100 l +1675.000 249.100 l +1675.000 239.100 l +1660.000 239.100 l +1655.000 244.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1537.94 239.59 Tm +(IOC12) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 244.100 m +1590.000 239.100 l +1575.000 239.100 l +1575.000 249.100 l +1590.000 249.100 l +1595.000 244.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1683.05 251.46 Tm +(IOC11) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 254.100 m +1660.000 259.100 l +1675.000 259.100 l +1675.000 249.100 l +1660.000 249.100 l +1655.000 254.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1538.34 249.59 Tm +(IOC10) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 254.100 m +1590.000 249.100 l +1575.000 249.100 l +1575.000 259.100 l +1590.000 259.100 l +1595.000 254.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.64 261.47 Tm +(IOC9) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 264.100 m +1660.000 269.100 l +1675.000 269.100 l +1675.000 259.100 l +1660.000 259.100 l +1655.000 264.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.69 259.59 Tm +(IOC8) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 264.100 m +1590.000 259.100 l +1575.000 259.100 l +1575.000 269.100 l +1590.000 269.100 l +1595.000 264.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.62 271.49 Tm +(IOC7) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 274.100 m +1660.000 279.100 l +1675.000 279.100 l +1675.000 269.100 l +1660.000 269.100 l +1655.000 274.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.72 269.57 Tm +(IOC6) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 274.100 m +1590.000 269.100 l +1575.000 269.100 l +1575.000 279.100 l +1590.000 279.100 l +1595.000 274.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.62 281.51 Tm +(IOC5) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 284.100 m +1660.000 289.100 l +1675.000 289.100 l +1675.000 279.100 l +1660.000 279.100 l +1655.000 284.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.71 279.56 Tm +(IOC4) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 284.100 m +1590.000 279.100 l +1575.000 279.100 l +1575.000 289.100 l +1590.000 289.100 l +1595.000 284.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.63 291.51 Tm +(IOC3) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 294.100 m +1660.000 299.100 l +1675.000 299.100 l +1675.000 289.100 l +1660.000 289.100 l +1655.000 294.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.69 289.55 Tm +(IOC2) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 294.100 m +1590.000 289.100 l +1575.000 289.100 l +1575.000 299.100 l +1590.000 299.100 l +1595.000 294.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1682.63 301.52 Tm +(IOC1) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1655.000 304.100 m +1660.000 309.100 l +1675.000 309.100 l +1675.000 299.100 l +1660.000 299.100 l +1655.000 304.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1543.71 299.26 Tm +(IOC0) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +1595.000 304.100 m +1590.000 299.100 l +1575.000 299.100 l +1575.000 309.100 l +1590.000 309.100 l +1595.000 304.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1247.36 233.17 Tm +(IOB21) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1250.250 264.100 m +1239.500 264.100 l +1239.500 278.350 l +1245.000 284.100 l +1250.250 278.850 l +1250.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1237.36 233.17 Tm +(IOB20) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1240.250 264.100 m +1229.500 264.100 l +1229.500 278.350 l +1235.000 284.100 l +1240.250 278.850 l +1240.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1227.36 233.17 Tm +(IOB19) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1230.250 264.100 m +1219.500 264.100 l +1219.500 278.350 l +1225.000 284.100 l +1230.250 278.850 l +1230.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1217.36 233.17 Tm +(IOB18) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1220.250 264.100 m +1209.500 264.100 l +1209.500 278.350 l +1215.000 284.100 l +1220.250 278.850 l +1220.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1207.36 233.17 Tm +(IOB17) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1210.250 264.100 m +1199.500 264.100 l +1199.500 278.350 l +1205.000 284.100 l +1210.250 278.850 l +1210.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1197.36 233.17 Tm +(IOB16) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1200.250 264.100 m +1189.500 264.100 l +1189.500 278.350 l +1195.000 284.100 l +1200.250 278.850 l +1200.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1187.36 233.17 Tm +(IOB15) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1190.250 264.100 m +1179.500 264.100 l +1179.500 278.350 l +1185.000 284.100 l +1190.250 278.850 l +1190.250 263.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1177.36 233.17 Tm +(IOB14) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1180.250 264.100 m +1169.500 264.100 l +1169.500 278.350 l +1175.000 284.100 l +1180.250 278.850 l +1180.250 263.850 l +B +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1161.000 288.100 m +1169.000 280.100 l +1169.000 288.100 m +1161.000 280.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1619.30 327.10 Td +(Header-Female-2.54_2x13) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +1619.30 336.02 Td +(J4) Tj +ET +2 J +0 j +100 M +1.00 w +0.53 0.00 0.00 RG +[] 0 d +1607.000 324.100 m +1643.000 324.100 l +1644.105 324.100 1645.000 323.205 1645.000 322.100 c +1645.000 186.100 l +1645.000 184.995 1643.895 184.100 1643.000 184.100 c +1607.000 184.100 l +1605.895 184.100 1605.000 185.205 1605.000 186.100 c +1605.000 322.100 l +1605.000 323.205 1606.105 324.100 1607.000 324.100 c +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 311.10 Tm +(1) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 314.100 m +1605.000 314.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 311.10 Tm +(2) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 314.100 m +1645.000 314.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 301.10 Tm +(3) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 304.100 m +1605.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 301.10 Tm +(4) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 304.100 m +1645.000 304.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 291.10 Tm +(5) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 294.100 m +1605.000 294.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 291.10 Tm +(6) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 294.100 m +1645.000 294.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 281.10 Tm +(7) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 284.100 m +1605.000 284.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1634.29 281.10 Tm +(8) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 284.100 m +1645.000 284.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 271.10 Tm +(9) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 274.100 m +1605.000 274.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 271.10 Tm +(10) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 274.100 m +1645.000 274.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 261.10 Tm +(11) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 264.100 m +1605.000 264.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 261.10 Tm +(12) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 264.100 m +1645.000 264.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 251.10 Tm +(13) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 254.100 m +1605.000 254.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 251.10 Tm +(14) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 254.100 m +1645.000 254.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 241.10 Tm +(15) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 244.100 m +1605.000 244.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 241.10 Tm +(16) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 244.100 m +1645.000 244.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 231.10 Tm +(17) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 234.100 m +1605.000 234.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 231.10 Tm +(18) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 234.100 m +1645.000 234.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 221.10 Tm +(19) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 224.100 m +1605.000 224.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 221.10 Tm +(20) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 224.100 m +1645.000 224.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 211.10 Tm +(21) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 214.100 m +1605.000 214.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 211.10 Tm +(22) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 214.100 m +1645.000 214.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 201.10 Tm +(23) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 204.100 m +1605.000 204.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 201.10 Tm +(24) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 204.100 m +1645.000 204.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1610.00 191.10 Tm +(25) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1595.000 194.100 m +1605.000 194.100 l +S +BT +/F1 9 Tf +9.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1628.57 191.10 Tm +(26) Tj +ET +1 J +1 j +1.00 w +0.00 G +[] 0 d +1655.000 194.100 m +1645.000 194.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 1584.68 367.27 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1595.000 364.100 m +1595.000 354.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +1590.000 364.100 m +1600.000 364.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1595.000 354.100 m +1595.000 314.100 l +S +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1655.000 314.100 m +1655.000 349.100 l +1595.000 349.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 399.52 Tm +(DQ7) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 409.350 m +1395.000 398.600 l +1380.750 398.600 l +1375.000 404.100 l +1380.250 409.350 l +1395.250 409.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 409.52 Tm +(DQ6) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 419.350 m +1395.000 408.600 l +1380.750 408.600 l +1375.000 414.100 l +1380.250 419.350 l +1395.250 419.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 419.52 Tm +(DQ5) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 429.350 m +1395.000 418.600 l +1380.750 418.600 l +1375.000 424.100 l +1380.250 429.350 l +1395.250 429.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 307.96 885.52 Tm +(DM) Tj +ET +1 J +1 j +1.00 w +0.00 0.00 1.00 RG +0.00 g +[] 0 d +280.000 889.100 m +285.000 894.100 l +300.000 894.100 l +300.000 884.100 l +285.000 884.100 l +280.000 889.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 659.52 Tm +(A11) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 669.350 m +1395.000 658.600 l +1380.750 658.600 l +1375.000 664.100 l +1380.250 669.350 l +1395.250 669.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 539.52 Tm +(A12) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 549.350 m +1395.000 538.600 l +1380.750 538.600 l +1375.000 544.100 l +1380.250 549.350 l +1395.250 549.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 529.52 Tm +(CKE) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 539.350 m +1395.000 528.600 l +1380.750 528.600 l +1375.000 534.100 l +1380.250 539.350 l +1395.250 539.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 519.52 Tm +(CKP) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 529.350 m +1395.000 518.600 l +1380.750 518.600 l +1375.000 524.100 l +1380.250 529.350 l +1395.250 529.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 509.52 Tm +(CKN) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 519.350 m +1395.000 508.600 l +1380.750 508.600 l +1375.000 514.100 l +1380.250 519.350 l +1395.250 519.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1210.00 930.60 Tm +(IOC17) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1199.750 929.100 m +1210.500 929.100 l +1210.500 914.850 l +1205.000 909.100 l +1199.750 914.350 l +1199.750 929.350 l +B +1 J +1 j +1.00 w +0.00 0.53 0.00 RG +0.00 g +[] 0 d +1205.000 909.100 m +1205.000 894.100 l +S +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1197.36 930.40 Tm +(IOC16) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1200.250 929.100 m +1189.500 929.100 l +1189.500 914.850 l +1195.000 909.100 l +1200.250 914.350 l +1200.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1157.36 931.29 Tm +(IOC15) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1160.250 929.100 m +1149.500 929.100 l +1149.500 914.850 l +1155.000 909.100 l +1160.250 914.350 l +1160.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1147.36 931.29 Tm +(IOC14) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1150.250 929.100 m +1139.500 929.100 l +1139.500 914.850 l +1145.000 909.100 l +1150.250 914.350 l +1150.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1137.36 931.29 Tm +(IOC13) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1140.250 929.100 m +1129.500 929.100 l +1129.500 914.850 l +1135.000 909.100 l +1140.250 914.350 l +1140.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1107.36 931.29 Tm +(IOC12) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1110.250 929.100 m +1099.500 929.100 l +1099.500 914.850 l +1105.000 909.100 l +1110.250 914.350 l +1110.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1097.36 931.29 Tm +(IOC11) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1100.250 929.100 m +1089.500 929.100 l +1089.500 914.850 l +1095.000 909.100 l +1100.250 914.350 l +1100.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1087.36 931.29 Tm +(IOC10) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1090.250 929.100 m +1079.500 929.100 l +1079.500 914.850 l +1085.000 909.100 l +1090.250 914.350 l +1090.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1077.36 931.29 Tm +(IOC9) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1080.250 929.100 m +1069.500 929.100 l +1069.500 914.850 l +1075.000 909.100 l +1080.250 914.350 l +1080.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1057.36 931.29 Tm +(IOC8) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1060.250 929.100 m +1049.500 929.100 l +1049.500 914.850 l +1055.000 909.100 l +1060.250 914.350 l +1060.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1047.36 931.29 Tm +(IOC7) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1050.250 929.100 m +1039.500 929.100 l +1039.500 914.850 l +1045.000 909.100 l +1050.250 914.350 l +1050.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1017.36 931.29 Tm +(IOC6) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1020.250 929.100 m +1009.500 929.100 l +1009.500 914.850 l +1015.000 909.100 l +1020.250 914.350 l +1020.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 1007.36 931.29 Tm +(IOC5) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1010.250 929.100 m +999.500 929.100 l +999.500 914.850 l +1005.000 909.100 l +1010.250 914.350 l +1010.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 987.36 931.29 Tm +(IOC4) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +990.250 929.100 m +979.500 929.100 l +979.500 914.850 l +985.000 909.100 l +990.250 914.350 l +990.250 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 979.47 930.71 Tm +(IOC3) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +969.750 929.100 m +980.500 929.100 l +980.500 914.850 l +975.000 909.100 l +969.750 914.350 l +969.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 969.47 930.71 Tm +(IOC2) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +959.750 929.100 m +970.500 929.100 l +970.500 914.850 l +965.000 909.100 l +959.750 914.350 l +959.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 959.47 930.71 Tm +(IOC1) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +949.750 929.100 m +960.500 929.100 l +960.500 914.850 l +955.000 909.100 l +949.750 914.350 l +949.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 929.47 930.71 Tm +(IOC0) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +919.750 929.100 m +930.500 929.100 l +930.500 914.850 l +925.000 909.100 l +919.750 914.350 l +919.750 929.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 918.55 917.59 Tm +(A3) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +909.750 914.100 m +920.500 914.100 l +920.500 899.850 l +915.000 894.100 l +909.750 899.350 l +909.750 914.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 908.54 917.59 Tm +(A2) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +899.750 914.100 m +910.500 914.100 l +910.500 899.850 l +905.000 894.100 l +899.750 899.350 l +899.750 914.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +0.00 1.00 -1.00 0.00 898.60 917.79 Tm +(A1) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +889.750 914.100 m +900.500 914.100 l +900.500 899.850 l +895.000 894.100 l +889.750 899.350 l +889.750 914.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 730.00 761.52 Tm +(A0) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 758.850 m +745.000 769.600 l +759.250 769.600 l +765.000 764.100 l +759.750 758.850 l +744.750 758.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 725.51 750.52 Tm +(A10) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +745.000 748.850 m +745.000 759.600 l +759.250 759.600 l +765.000 754.100 l +759.750 748.850 l +744.750 748.850 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 499.52 Tm +(DM) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 509.350 m +1395.000 498.600 l +1380.750 498.600 l +1375.000 504.100 l +1380.250 509.350 l +1395.250 509.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 469.52 Tm +(DQS) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 479.350 m +1395.000 468.600 l +1380.750 468.600 l +1375.000 474.100 l +1380.250 479.350 l +1395.250 479.350 l +B +BT +/F3 11 Tf +11.00 TL +0.000 0.000 1.000 rg +1.00 -0.00 0.00 1.00 1396.59 429.52 Tm +(DQ4) Tj +ET +1 J +1 j +1.00 w +0.26 0.04 1.00 RG +1.00 0.97 0.97 rg +[] 0 d +1395.000 439.350 m +1395.000 428.600 l +1380.750 428.600 l +1375.000 434.100 l +1380.250 439.350 l +1395.250 439.350 l +B +1 J +1 j +1.00 w +0.20 0.80 0.20 RG +[] 0 d +1371.000 448.100 m +1379.000 440.100 l +1379.000 448.100 m +1371.000 440.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +672.00 106.93 Td +(47uF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +672.00 115.76 Td +(C24) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +660.000 139.100 m +660.000 122.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +660.000 99.100 m +660.000 118.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +650.000 122.100 m +670.000 122.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +660.000 118.100 m +663.57 118.24 667.09 117.18 670.00 115.10 c +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +650.000 115.100 m +652.91 117.17 656.43 118.22 660.00 118.10 c +S +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +650.00 125.10 4.00 -0.10 re +B +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +652.00 127.10 0.10 -4.00 re +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +660.000 89.100 m +660.000 99.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +650.000 89.100 m +669.000 89.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +654.000 87.100 m +667.000 87.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +656.000 85.100 m +663.000 85.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +659.000 83.100 m +661.000 83.100 l +S +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +772.00 106.93 Td +(47uF) Tj +ET +10.00 w +BT +/F2 9 Tf +9.00 TL +0.000 0.000 0.502 rg +772.00 115.76 Td +(C25) Tj +ET +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +760.000 139.100 m +760.000 122.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +760.000 99.100 m +760.000 118.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +0.00 g +[] 0 d +750.000 122.100 m +770.000 122.100 l +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +760.000 118.100 m +763.57 118.24 767.09 117.18 770.00 115.10 c +S +1 J +1 j +1.00 w +0.55 0.14 0.14 RG +[] 0 d +750.000 115.100 m +752.91 117.17 756.43 118.22 760.00 118.10 c +S +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +750.00 125.10 4.00 -0.10 re +B +2 J +0 j +100 M +1.00 w +0.55 0.14 0.14 RG +0.55 0.14 0.14 rg +[] 0 d +752.00 127.10 0.10 -4.00 re +B +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +760.000 89.100 m +760.000 99.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +750.000 89.100 m +769.000 89.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +754.000 87.100 m +767.000 87.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +756.000 85.100 m +763.000 85.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +759.000 83.100 m +761.000 83.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 650.01 153.39 Tm +(2V5) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +660.000 149.100 m +660.000 139.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +655.000 149.100 m +665.000 149.100 l +S +BT +/F3 12 Tf +12.00 TL +0.000 g +1.00 -0.00 0.00 1.00 750.01 153.39 Tm +(1V2) Tj +ET +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +760.000 149.100 m +760.000 139.100 l +S +1 J +1 j +1.00 w +0.00 G +0.00 g +[] 0 d +755.000 149.100 m +765.000 149.100 l +S +0.80 0.00 0.00 rg +1597.50 644.10 m 1597.50 645.48 1596.38 646.60 1595.00 646.60 c +1593.62 646.60 1592.50 645.48 1592.50 644.10 c +1592.50 642.72 1593.62 641.60 1595.00 641.60 c +1596.38 641.60 1597.50 642.72 1597.50 644.10 c +f +0.80 0.00 0.00 rg +367.50 119.10 m 367.50 120.48 366.38 121.60 365.00 121.60 c +363.62 121.60 362.50 120.48 362.50 119.10 c +362.50 117.72 363.62 116.60 365.00 116.60 c +366.38 116.60 367.50 117.72 367.50 119.10 c +f +0.80 0.00 0.00 rg +132.50 384.10 m 132.50 385.48 131.38 386.60 130.00 386.60 c +128.62 386.60 127.50 385.48 127.50 384.10 c +127.50 382.72 128.62 381.60 130.00 381.60 c +131.38 381.60 132.50 382.72 132.50 384.10 c +f +0.80 0.00 0.00 rg +132.50 234.10 m 132.50 235.48 131.38 236.60 130.00 236.60 c +128.62 236.60 127.50 235.48 127.50 234.10 c +127.50 232.72 128.62 231.60 130.00 231.60 c +131.38 231.60 132.50 232.72 132.50 234.10 c +f +0.80 0.00 0.00 rg +1692.50 794.10 m 1692.50 795.48 1691.38 796.60 1690.00 796.60 c +1688.62 796.60 1687.50 795.48 1687.50 794.10 c +1687.50 792.72 1688.62 791.60 1690.00 791.60 c +1691.38 791.60 1692.50 792.72 1692.50 794.10 c +f +0.80 0.00 0.00 rg +1647.50 794.10 m 1647.50 795.48 1646.38 796.60 1645.00 796.60 c +1643.62 796.60 1642.50 795.48 1642.50 794.10 c +1642.50 792.72 1643.62 791.60 1645.00 791.60 c +1646.38 791.60 1647.50 792.72 1647.50 794.10 c +f +0.80 0.00 0.00 rg +1602.50 794.10 m 1602.50 795.48 1601.38 796.60 1600.00 796.60 c +1598.62 796.60 1597.50 795.48 1597.50 794.10 c +1597.50 792.72 1598.62 791.60 1600.00 791.60 c +1601.38 791.60 1602.50 792.72 1602.50 794.10 c +f +0.80 0.00 0.00 rg +1557.50 794.10 m 1557.50 795.48 1556.38 796.60 1555.00 796.60 c +1553.62 796.60 1552.50 795.48 1552.50 794.10 c +1552.50 792.72 1553.62 791.60 1555.00 791.60 c +1556.38 791.60 1557.50 792.72 1557.50 794.10 c +f +0.80 0.00 0.00 rg +1627.50 794.10 m 1627.50 795.48 1626.38 796.60 1625.00 796.60 c +1623.62 796.60 1622.50 795.48 1622.50 794.10 c +1622.50 792.72 1623.62 791.60 1625.00 791.60 c +1626.38 791.60 1627.50 792.72 1627.50 794.10 c +f +0.80 0.00 0.00 rg +1692.50 834.10 m 1692.50 835.48 1691.38 836.60 1690.00 836.60 c +1688.62 836.60 1687.50 835.48 1687.50 834.10 c +1687.50 832.72 1688.62 831.60 1690.00 831.60 c +1691.38 831.60 1692.50 832.72 1692.50 834.10 c +f +0.80 0.00 0.00 rg +1647.50 834.10 m 1647.50 835.48 1646.38 836.60 1645.00 836.60 c +1643.62 836.60 1642.50 835.48 1642.50 834.10 c +1642.50 832.72 1643.62 831.60 1645.00 831.60 c +1646.38 831.60 1647.50 832.72 1647.50 834.10 c +f +0.80 0.00 0.00 rg +1602.50 834.10 m 1602.50 835.48 1601.38 836.60 1600.00 836.60 c +1598.62 836.60 1597.50 835.48 1597.50 834.10 c +1597.50 832.72 1598.62 831.60 1600.00 831.60 c +1601.38 831.60 1602.50 832.72 1602.50 834.10 c +f +0.80 0.00 0.00 rg +1557.50 834.10 m 1557.50 835.48 1556.38 836.60 1555.00 836.60 c +1553.62 836.60 1552.50 835.48 1552.50 834.10 c +1552.50 832.72 1553.62 831.60 1555.00 831.60 c +1556.38 831.60 1557.50 832.72 1557.50 834.10 c +f +0.80 0.00 0.00 rg +1627.50 834.10 m 1627.50 835.48 1626.38 836.60 1625.00 836.60 c +1623.62 836.60 1622.50 835.48 1622.50 834.10 c +1622.50 832.72 1623.62 831.60 1625.00 831.60 c +1626.38 831.60 1627.50 832.72 1627.50 834.10 c +f +0.80 0.00 0.00 rg +97.50 804.10 m 97.50 805.48 96.38 806.60 95.00 806.60 c +93.62 806.60 92.50 805.48 92.50 804.10 c +92.50 802.72 93.62 801.60 95.00 801.60 c +96.38 801.60 97.50 802.72 97.50 804.10 c +f +0.80 0.00 0.00 rg +97.50 844.10 m 97.50 845.48 96.38 846.60 95.00 846.60 c +93.62 846.60 92.50 845.48 92.50 844.10 c +92.50 842.72 93.62 841.60 95.00 841.60 c +96.38 841.60 97.50 842.72 97.50 844.10 c +f +0.80 0.00 0.00 rg +357.50 954.10 m 357.50 955.48 356.38 956.60 355.00 956.60 c +353.62 956.60 352.50 955.48 352.50 954.10 c +352.50 952.72 353.62 951.60 355.00 951.60 c +356.38 951.60 357.50 952.72 357.50 954.10 c +f +0.80 0.00 0.00 rg +767.50 524.10 m 767.50 525.48 766.38 526.60 765.00 526.60 c +763.62 526.60 762.50 525.48 762.50 524.10 c +762.50 522.72 763.62 521.60 765.00 521.60 c +766.38 521.60 767.50 522.72 767.50 524.10 c +f +0.80 0.00 0.00 rg +482.50 654.10 m 482.50 655.48 481.38 656.60 480.00 656.60 c +478.62 656.60 477.50 655.48 477.50 654.10 c +477.50 652.72 478.62 651.60 480.00 651.60 c +481.38 651.60 482.50 652.72 482.50 654.10 c +f +0.80 0.00 0.00 rg +557.50 474.10 m 557.50 475.48 556.38 476.60 555.00 476.60 c +553.62 476.60 552.50 475.48 552.50 474.10 c +552.50 472.72 553.62 471.60 555.00 471.60 c +556.38 471.60 557.50 472.72 557.50 474.10 c +f +0.80 0.00 0.00 rg +557.50 484.10 m 557.50 485.48 556.38 486.60 555.00 486.60 c +553.62 486.60 552.50 485.48 552.50 484.10 c +552.50 482.72 553.62 481.60 555.00 481.60 c +556.38 481.60 557.50 482.72 557.50 484.10 c +f +0.80 0.00 0.00 rg +557.50 494.10 m 557.50 495.48 556.38 496.60 555.00 496.60 c +553.62 496.60 552.50 495.48 552.50 494.10 c +552.50 492.72 553.62 491.60 555.00 491.60 c +556.38 491.60 557.50 492.72 557.50 494.10 c +f +0.80 0.00 0.00 rg +1432.50 614.10 m 1432.50 615.48 1431.38 616.60 1430.00 616.60 c +1428.62 616.60 1427.50 615.48 1427.50 614.10 c +1427.50 612.72 1428.62 611.60 1430.00 611.60 c +1431.38 611.60 1432.50 612.72 1432.50 614.10 c +f +0.80 0.00 0.00 rg +1377.50 634.10 m 1377.50 635.48 1376.38 636.60 1375.00 636.60 c +1373.62 636.60 1372.50 635.48 1372.50 634.10 c +1372.50 632.72 1373.62 631.60 1375.00 631.60 c +1376.38 631.60 1377.50 632.72 1377.50 634.10 c +f +0.80 0.00 0.00 rg +767.50 554.10 m 767.50 555.48 766.38 556.60 765.00 556.60 c +763.62 556.60 762.50 555.48 762.50 554.10 c +762.50 552.72 763.62 551.60 765.00 551.60 c +766.38 551.60 767.50 552.72 767.50 554.10 c +f +0.80 0.00 0.00 rg +427.50 999.10 m 427.50 1000.48 426.38 1001.60 425.00 1001.60 c +423.62 1001.60 422.50 1000.48 422.50 999.10 c +422.50 997.72 423.62 996.60 425.00 996.60 c +426.38 996.60 427.50 997.72 427.50 999.10 c +f +0.80 0.00 0.00 rg +357.50 999.10 m 357.50 1000.48 356.38 1001.60 355.00 1001.60 c +353.62 1001.60 352.50 1000.48 352.50 999.10 c +352.50 997.72 353.62 996.60 355.00 996.60 c +356.38 996.60 357.50 997.72 357.50 999.10 c +f +0.80 0.00 0.00 rg +577.50 969.10 m 577.50 970.48 576.38 971.60 575.00 971.60 c +573.62 971.60 572.50 970.48 572.50 969.10 c +572.50 967.72 573.62 966.60 575.00 966.60 c +576.38 966.60 577.50 967.72 577.50 969.10 c +f +0.80 0.00 0.00 rg +577.50 929.10 m 577.50 930.48 576.38 931.60 575.00 931.60 c +573.62 931.60 572.50 930.48 572.50 929.10 c +572.50 927.72 573.62 926.60 575.00 926.60 c +576.38 926.60 577.50 927.72 577.50 929.10 c +f +0.80 0.00 0.00 rg +577.50 919.10 m 577.50 920.48 576.38 921.60 575.00 921.60 c +573.62 921.60 572.50 920.48 572.50 919.10 c +572.50 917.72 573.62 916.60 575.00 916.60 c +576.38 916.60 577.50 917.72 577.50 919.10 c +f +0.80 0.00 0.00 rg +577.50 959.10 m 577.50 960.48 576.38 961.60 575.00 961.60 c +573.62 961.60 572.50 960.48 572.50 959.10 c +572.50 957.72 573.62 956.60 575.00 956.60 c +576.38 956.60 577.50 957.72 577.50 959.10 c +f +0.80 0.00 0.00 rg +577.50 949.10 m 577.50 950.48 576.38 951.60 575.00 951.60 c +573.62 951.60 572.50 950.48 572.50 949.10 c +572.50 947.72 573.62 946.60 575.00 946.60 c +576.38 946.60 577.50 947.72 577.50 949.10 c +f +0.80 0.00 0.00 rg +577.50 939.10 m 577.50 940.48 576.38 941.60 575.00 941.60 c +573.62 941.60 572.50 940.48 572.50 939.10 c +572.50 937.72 573.62 936.60 575.00 936.60 c +576.38 936.60 577.50 937.72 577.50 939.10 c +f +0.80 0.00 0.00 rg +577.50 909.10 m 577.50 910.48 576.38 911.60 575.00 911.60 c +573.62 911.60 572.50 910.48 572.50 909.10 c +572.50 907.72 573.62 906.60 575.00 906.60 c +576.38 906.60 577.50 907.72 577.50 909.10 c +f +0.80 0.00 0.00 rg +457.50 929.10 m 457.50 930.48 456.38 931.60 455.00 931.60 c +453.62 931.60 452.50 930.48 452.50 929.10 c +452.50 927.72 453.62 926.60 455.00 926.60 c +456.38 926.60 457.50 927.72 457.50 929.10 c +f +0.80 0.00 0.00 rg +457.50 969.10 m 457.50 970.48 456.38 971.60 455.00 971.60 c +453.62 971.60 452.50 970.48 452.50 969.10 c +452.50 967.72 453.62 966.60 455.00 966.60 c +456.38 966.60 457.50 967.72 457.50 969.10 c +f +0.80 0.00 0.00 rg +457.50 959.10 m 457.50 960.48 456.38 961.60 455.00 961.60 c +453.62 961.60 452.50 960.48 452.50 959.10 c +452.50 957.72 453.62 956.60 455.00 956.60 c +456.38 956.60 457.50 957.72 457.50 959.10 c +f +0.80 0.00 0.00 rg +457.50 919.10 m 457.50 920.48 456.38 921.60 455.00 921.60 c +453.62 921.60 452.50 920.48 452.50 919.10 c +452.50 917.72 453.62 916.60 455.00 916.60 c +456.38 916.60 457.50 917.72 457.50 919.10 c +f +0.80 0.00 0.00 rg +457.50 909.10 m 457.50 910.48 456.38 911.60 455.00 911.60 c +453.62 911.60 452.50 910.48 452.50 909.10 c +452.50 907.72 453.62 906.60 455.00 906.60 c +456.38 906.60 457.50 907.72 457.50 909.10 c +f +0.80 0.00 0.00 rg +457.50 949.10 m 457.50 950.48 456.38 951.60 455.00 951.60 c +453.62 951.60 452.50 950.48 452.50 949.10 c +452.50 947.72 453.62 946.60 455.00 946.60 c +456.38 946.60 457.50 947.72 457.50 949.10 c +f +0.80 0.00 0.00 rg +457.50 939.10 m 457.50 940.48 456.38 941.60 455.00 941.60 c +453.62 941.60 452.50 940.48 452.50 939.10 c +452.50 937.72 453.62 936.60 455.00 936.60 c +456.38 936.60 457.50 937.72 457.50 939.10 c +f +0.80 0.00 0.00 rg +392.50 999.10 m 392.50 1000.48 391.38 1001.60 390.00 1001.60 c +388.62 1001.60 387.50 1000.48 387.50 999.10 c +387.50 997.72 388.62 996.60 390.00 996.60 c +391.38 996.60 392.50 997.72 392.50 999.10 c +f +0.80 0.00 0.00 rg +392.50 954.10 m 392.50 955.48 391.38 956.60 390.00 956.60 c +388.62 956.60 387.50 955.48 387.50 954.10 c +387.50 952.72 388.62 951.60 390.00 951.60 c +391.38 951.60 392.50 952.72 392.50 954.10 c +f +0.80 0.00 0.00 rg +117.50 534.10 m 117.50 535.48 116.38 536.60 115.00 536.60 c +113.62 536.60 112.50 535.48 112.50 534.10 c +112.50 532.72 113.62 531.60 115.00 531.60 c +116.38 531.60 117.50 532.72 117.50 534.10 c +f +0.80 0.00 0.00 rg +117.50 629.10 m 117.50 630.48 116.38 631.60 115.00 631.60 c +113.62 631.60 112.50 630.48 112.50 629.10 c +112.50 627.72 113.62 626.60 115.00 626.60 c +116.38 626.60 117.50 627.72 117.50 629.10 c +f +0.80 0.00 0.00 rg +147.50 554.10 m 147.50 555.48 146.38 556.60 145.00 556.60 c +143.62 556.60 142.50 555.48 142.50 554.10 c +142.50 552.72 143.62 551.60 145.00 551.60 c +146.38 551.60 147.50 552.72 147.50 554.10 c +f +0.80 0.00 0.00 rg +132.50 574.10 m 132.50 575.48 131.38 576.60 130.00 576.60 c +128.62 576.60 127.50 575.48 127.50 574.10 c +127.50 572.72 128.62 571.60 130.00 571.60 c +131.38 571.60 132.50 572.72 132.50 574.10 c +f +0.80 0.00 0.00 rg +247.50 534.10 m 247.50 535.48 246.38 536.60 245.00 536.60 c +243.62 536.60 242.50 535.48 242.50 534.10 c +242.50 532.72 243.62 531.60 245.00 531.60 c +246.38 531.60 247.50 532.72 247.50 534.10 c +f +0.80 0.00 0.00 rg +1627.50 1029.10 m 1627.50 1030.48 1626.38 1031.60 1625.00 1031.60 c +1623.62 1031.60 1622.50 1030.48 1622.50 1029.10 c +1622.50 1027.72 1623.62 1026.60 1625.00 1026.60 c +1626.38 1026.60 1627.50 1027.72 1627.50 1029.10 c +f +0.80 0.00 0.00 rg +1692.50 1029.10 m 1692.50 1030.48 1691.38 1031.60 1690.00 1031.60 c +1688.62 1031.60 1687.50 1030.48 1687.50 1029.10 c +1687.50 1027.72 1688.62 1026.60 1690.00 1026.60 c +1691.38 1026.60 1692.50 1027.72 1692.50 1029.10 c +f +0.80 0.00 0.00 rg +1647.50 1029.10 m 1647.50 1030.48 1646.38 1031.60 1645.00 1031.60 c +1643.62 1031.60 1642.50 1030.48 1642.50 1029.10 c +1642.50 1027.72 1643.62 1026.60 1645.00 1026.60 c +1646.38 1026.60 1647.50 1027.72 1647.50 1029.10 c +f +0.80 0.00 0.00 rg +1602.50 1029.10 m 1602.50 1030.48 1601.38 1031.60 1600.00 1031.60 c +1598.62 1031.60 1597.50 1030.48 1597.50 1029.10 c +1597.50 1027.72 1598.62 1026.60 1600.00 1026.60 c +1601.38 1026.60 1602.50 1027.72 1602.50 1029.10 c +f +0.80 0.00 0.00 rg +1557.50 1029.10 m 1557.50 1030.48 1556.38 1031.60 1555.00 1031.60 c +1553.62 1031.60 1552.50 1030.48 1552.50 1029.10 c +1552.50 1027.72 1553.62 1026.60 1555.00 1026.60 c +1556.38 1026.60 1557.50 1027.72 1557.50 1029.10 c +f +0.80 0.00 0.00 rg +1627.50 989.10 m 1627.50 990.48 1626.38 991.60 1625.00 991.60 c +1623.62 991.60 1622.50 990.48 1622.50 989.10 c +1622.50 987.72 1623.62 986.60 1625.00 986.60 c +1626.38 986.60 1627.50 987.72 1627.50 989.10 c +f +0.80 0.00 0.00 rg +1692.50 989.10 m 1692.50 990.48 1691.38 991.60 1690.00 991.60 c +1688.62 991.60 1687.50 990.48 1687.50 989.10 c +1687.50 987.72 1688.62 986.60 1690.00 986.60 c +1691.38 986.60 1692.50 987.72 1692.50 989.10 c +f +0.80 0.00 0.00 rg +1647.50 989.10 m 1647.50 990.48 1646.38 991.60 1645.00 991.60 c +1643.62 991.60 1642.50 990.48 1642.50 989.10 c +1642.50 987.72 1643.62 986.60 1645.00 986.60 c +1646.38 986.60 1647.50 987.72 1647.50 989.10 c +f +0.80 0.00 0.00 rg +1602.50 989.10 m 1602.50 990.48 1601.38 991.60 1600.00 991.60 c +1598.62 991.60 1597.50 990.48 1597.50 989.10 c +1597.50 987.72 1598.62 986.60 1600.00 986.60 c +1601.38 986.60 1602.50 987.72 1602.50 989.10 c +f +0.80 0.00 0.00 rg +1557.50 989.10 m 1557.50 990.48 1556.38 991.60 1555.00 991.60 c +1553.62 991.60 1552.50 990.48 1552.50 989.10 c +1552.50 987.72 1553.62 986.60 1555.00 986.60 c +1556.38 986.60 1557.50 987.72 1557.50 989.10 c +f +0.80 0.00 0.00 rg +1627.50 929.10 m 1627.50 930.48 1626.38 931.60 1625.00 931.60 c +1623.62 931.60 1622.50 930.48 1622.50 929.10 c +1622.50 927.72 1623.62 926.60 1625.00 926.60 c +1626.38 926.60 1627.50 927.72 1627.50 929.10 c +f +0.80 0.00 0.00 rg +1557.50 929.10 m 1557.50 930.48 1556.38 931.60 1555.00 931.60 c +1553.62 931.60 1552.50 930.48 1552.50 929.10 c +1552.50 927.72 1553.62 926.60 1555.00 926.60 c +1556.38 926.60 1557.50 927.72 1557.50 929.10 c +f +0.80 0.00 0.00 rg +1602.50 929.10 m 1602.50 930.48 1601.38 931.60 1600.00 931.60 c +1598.62 931.60 1597.50 930.48 1597.50 929.10 c +1597.50 927.72 1598.62 926.60 1600.00 926.60 c +1601.38 926.60 1602.50 927.72 1602.50 929.10 c +f +0.80 0.00 0.00 rg +1647.50 929.10 m 1647.50 930.48 1646.38 931.60 1645.00 931.60 c +1643.62 931.60 1642.50 930.48 1642.50 929.10 c +1642.50 927.72 1643.62 926.60 1645.00 926.60 c +1646.38 926.60 1647.50 927.72 1647.50 929.10 c +f +0.80 0.00 0.00 rg +1627.50 889.10 m 1627.50 890.48 1626.38 891.60 1625.00 891.60 c +1623.62 891.60 1622.50 890.48 1622.50 889.10 c +1622.50 887.72 1623.62 886.60 1625.00 886.60 c +1626.38 886.60 1627.50 887.72 1627.50 889.10 c +f +0.80 0.00 0.00 rg +1557.50 889.10 m 1557.50 890.48 1556.38 891.60 1555.00 891.60 c +1553.62 891.60 1552.50 890.48 1552.50 889.10 c +1552.50 887.72 1553.62 886.60 1555.00 886.60 c +1556.38 886.60 1557.50 887.72 1557.50 889.10 c +f +0.80 0.00 0.00 rg +1602.50 889.10 m 1602.50 890.48 1601.38 891.60 1600.00 891.60 c +1598.62 891.60 1597.50 890.48 1597.50 889.10 c +1597.50 887.72 1598.62 886.60 1600.00 886.60 c +1601.38 886.60 1602.50 887.72 1602.50 889.10 c +f +0.80 0.00 0.00 rg +1647.50 889.10 m 1647.50 890.48 1646.38 891.60 1645.00 891.60 c +1643.62 891.60 1642.50 890.48 1642.50 889.10 c +1642.50 887.72 1643.62 886.60 1645.00 886.60 c +1646.38 886.60 1647.50 887.72 1647.50 889.10 c +f +0.80 0.00 0.00 rg +542.50 319.10 m 542.50 320.48 541.38 321.60 540.00 321.60 c +538.62 321.60 537.50 320.48 537.50 319.10 c +537.50 317.72 538.62 316.60 540.00 316.60 c +541.38 316.60 542.50 317.72 542.50 319.10 c +f +0.80 0.00 0.00 rg +542.50 289.10 m 542.50 290.48 541.38 291.60 540.00 291.60 c +538.62 291.60 537.50 290.48 537.50 289.10 c +537.50 287.72 538.62 286.60 540.00 286.60 c +541.38 286.60 542.50 287.72 542.50 289.10 c +f +0.80 0.00 0.00 rg +287.50 334.10 m 287.50 335.48 286.38 336.60 285.00 336.60 c +283.62 336.60 282.50 335.48 282.50 334.10 c +282.50 332.72 283.62 331.60 285.00 331.60 c +286.38 331.60 287.50 332.72 287.50 334.10 c +f +0.80 0.00 0.00 rg +202.50 119.10 m 202.50 120.48 201.38 121.60 200.00 121.60 c +198.62 121.60 197.50 120.48 197.50 119.10 c +197.50 117.72 198.62 116.60 200.00 116.60 c +201.38 116.60 202.50 117.72 202.50 119.10 c +f +0.80 0.00 0.00 rg +527.50 119.10 m 527.50 120.48 526.38 121.60 525.00 121.60 c +523.62 121.60 522.50 120.48 522.50 119.10 c +522.50 117.72 523.62 116.60 525.00 116.60 c +526.38 116.60 527.50 117.72 527.50 119.10 c +f +0.80 0.00 0.00 rg +1597.50 349.10 m 1597.50 350.48 1596.38 351.60 1595.00 351.60 c +1593.62 351.60 1592.50 350.48 1592.50 349.10 c +1592.50 347.72 1593.62 346.60 1595.00 346.60 c +1596.38 346.60 1597.50 347.72 1597.50 349.10 c +f +endstream +endobj +1 0 obj +<> +endobj +5 0 obj +<< +/Descent -209 +/CapHeight 727 +/StemV 0 +/Type /FontDescriptor +/Flags 32 +/FontBBox [-559 -303 1446 1050] +/FontName /Verdana +/ItalicAngle 0 +/Ascent 1005 +>> +endobj +6 0 obj +<> +endobj +7 0 obj +<< +/Descent -325 +/CapHeight 500 +/StemV 80 +/Type /FontDescriptor +/Flags 32 +/FontBBox [-665 -325 2000 1006] +/FontName /Arial +/ItalicAngle 0 +/Ascent 1006 +>> +endobj +8 0 obj +<> +endobj +9 0 obj +<< +/Type /Font +/BaseFont /Times-Roman +/Subtype /Type1 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/LastChar 255 +>> +endobj +10 0 obj +<< +/Type /FontDescriptor +/FontName /simhei +/FontBBox [-11 -156 996 859] +/Flags 32 +/StemV 0 +/ItalicAngle 0 +/Ascent 859 +/Descent -140 +/CapHeight 687 +>> +endobj +11 0 obj +<< +/Type /Font +/BaseFont /simhei +/FontDescriptor 10 0 R +/W [1 95 500 738 813 1000] +/Subtype /CIDFontType2 +/CIDSystemInfo +<< +/Ordering (GB1) +/Registry (Adobe) +/Supplement 2 +>> +>> +endobj +12 0 obj +<< +/Type /Font +/Subtype /Type0 +/BaseFont /simhei +/Encoding /UniGB-UCS2-H +/DescendantFonts [11 0 R] +>> +endobj +2 0 obj +<< +/ProcSet [/PDF /Text /ImageB /ImageC /ImageI] +/Font << +/F1 6 0 R +/F2 8 0 R +/F3 9 0 R +/F4 12 0 R +>> +/XObject << +>> +>> +endobj +13 0 obj +<< +/Producer (jsPDF 0.0.0) +/CreationDate (D:20210127171722+08'00') +>> +endobj +14 0 obj +<< +/Type /Catalog +/Pages 1 0 R +/OpenAction [3 0 R /FitH null] +/PageLayout /OneColumn +>> +endobj +xref +0 15 +0000000000 65535 f +0000225889 00000 n +0000228988 00000 n +0000000015 00000 n +0000000126 00000 n +0000225946 00000 n +0000226116 00000 n +0000227170 00000 n +0000227339 00000 n +0000228383 00000 n +0000228510 00000 n +0000228676 00000 n +0000228870 00000 n +0000229123 00000 n +0000229209 00000 n +trailer +<< +/Size 15 +/Root 14 0 R +/Info 13 0 R +/ID [ ] +>> +startxref +229313 +%%EOF \ No newline at end of file diff --git a/images/UartSession.png b/images/UartSession.png new file mode 100644 index 0000000000000000000000000000000000000000..2c89593bf462b90fe42cec22710f41157baa697f GIT binary patch literal 22809 zcmd42Ra9J0@GwY1fIx79TY?4;L4yXDL4xbxHfV5%1b2cC!QI^%EJ1_2YtVtgVQ|?= ze*f=$XTOKtmwniWIeokP-Y)5?>guYR@XtyzSQum&NJvOnaNlzRb|AHDn}{y5S`OUJ@!W~6D9#+^R;|zAqKS zaqDbb)W;oto3Ya+SS>92=`P`Etm(<{=@U(yEFFIM#nxVQ=iT)h%>RioT=MVOq*_s| z&&4JbAOBxJRM!8ziCPN${qNh70+P2UPq%t5=>O*OCGwwc_^8GH_heb;qv8Ml@@dom z5epLZ-?DEf4ew_R^8o+Wp`oOC=zY3A(FOa!pK%xdHx{S4NB#KA&!A$!l}SDdFYDpJ za_wf+{qY3n>Ev$psv|{XD&;?{C3Opw{mv3Bq4CQ8w^P*j>uCO`#Qvvx9ou@V7lnKe zXB`Y=57`F-xhW5dUx!?I*wqg9-GmIw*`i` zli-fmLln_W|52W=As_(`dvP;k_%PGderVTulh%2Yv38T;;CG(pzpdrB-T4UXG^xqs zl%k08L#G`%PBRW@*8W87yfBv+xC3@}x{c*5-J=wbE`v)WNLeMbG6@~9708Us6?~WZ| z{G&tbE`+fuQAoJB@VIvTcyW!Jp1?5W6mI5cFwYQvfgkU)n3~RDr|GdpH@GR*@c^?%&;Kb-SB{5$b<*ZFjJp7nI!FLGIfV5dKZ zRF_s%^-4;7zRiVG2ASWl+N6h9qH30X_o^+x7ZO6nL|!@pGJyL#(bHgXlNXgI1MKMW zPqe%L4s`*tTbrwob@NFvg+JXNbb?6bu(C}6UWaTURbu4DaoxU%rr&Ll)H zB#yo2TFqud+PvWV$kf-LJeKo0=}V%Y`PL?RT?D&wrS^s4gMkl3%^2#OpazcnD2Hp4 z$#0fUE@W1})2)MzgRS3afWVps;5{3W`|nNJZ(;gH(u_Fo+I+PZvZ& z?YmfhLRX^lRtt|lp4W8%=l&;7+cukn=Vmlt$6agZo$#^e*zx1haRBq1%VhmH|>@sONS>Rb<8 z^S{PS?G9;RZnb}XXJeguNg_XIOL7C`PTtu5qJaGyvvT^`4lXf7`(o zj87=ioiu7p*p8oqo3zGl6!G3)So%qOyOX2C%z4;S?{(%fsGhkqV}A(5DfsiT$Pp}U z5FAp$$tS(yc|JjMw1_`$?py! z)qK8Fe7+BJ{tr#QOHBiAfm?v>zgk57blp#`7VmOHQvRxudHeokrY`aF+pq1WvP`yz zM$8r|>v(-0SS~jCe#k5Q-)<|pYkonl8K8(_DSE$TZlMqPWH|I^cW3f_79*$O!-RyUMYEvUA`s?Q0O+_H z>--KW6OqY#JLk(*)GIWAe40EXOZ9u~{)T4iV7Ep1j{6d{pLAT7nk7Xr36S@CZa2a0 z*;T(coYQ8f`kC&3DChI(=4;zibiglp8?nXcx54!|n6(;vpIl$ITb{H7rthmTpOH_Dpeg95c<<7rOHV%o z(36|FC68}XW9u=VgF^xCbNW~Fm31@O*qjN0>h54wf)zd%{(BP367CWlSod8m#?&9M zYR0e-=w_U|zgXDJi=lq^&%stX#A@^%pu$lu0W`s0nkv99NU%IST>#waRoYD7G1#*| z$hB{^7pDKCzXItVl*4KoL0&AI z-3q&bq(XPxF`(_Fs&(vJ3j+K-rug(AMWqB@79kCcT*=1~a>d|R`HH}^q$;0n-(&B! z)>U}rPXEr+I?m&|V8^E5<4x9+PGf=6r^cthhfg>4W3|RdL-4${s!WVuoUYCkyy?b? zp`R_KRF9Erd?<<0MxUK$n-t?1zwujQ1c z7>eZ52s7z;bhmUT&D6lqexDxdr&DVGId|W=A`y5A;sEi#n@3pTzXtiQA-?V9@Z%&R zk7kks0qxIQw}_7hXd3EyZ8tvHQQ9uuDgb}oc?b<(`5tPJGfwMYHMeAo-Y8&C7Pnfb zv@&~CDNv;2`sv^MX&WweSEZGi`(`u`lnAW}nN`Z6AEx?j??ly3UuhJ5V4J~InEJ8^ zEIc zwEmZt{+E|coe$A`tG~g@9}vjGMSdHX$v*ILVT&77*F{?t{Mg*a{ZS8m-|v6l&nR*lo|cx@gs|=ijac&t zUTQ zEyOfJEVnuQKkktIZ(qu*nO$f6f4gsrK=J=^KxNVY=W*}d|2I!e`}hc_`zzY{48mnO z$3X)A_0x%w|1~nt{@)wp3c%`VjC)T7I`r>C%_OgYG`V!RS2EcEM0ed}*hMapE<9!F z8~ZZ^m`KiPdX=aYif}ywP{4m96R`Mq66v_%ceMX&gma4Ck&$!w^*B9Zg)f{T5IXyr z|5k$xXL_%oLtY@p*-`&BG0*=Wnyv=dfy0NgyQQ>dXXeH0qk~z8Hb>{F#+B{Sk(Dwu zek{Z~yEKq3+TGd;09}_qRD#;e)m<|RC;Hf7^C&TGiPQaR(S7Cd(l1tQg1&u-?;Rn6 z1{P-xAPw^;$?%s!&OvfkKF;3m;+yjfM_5pofss!$%PXCeFm9?T{>j%M1TVixRTcpb zoMdpH@ws0Wg}B&Jz7FADEmM%EjWu|bWf*NUm|Vj}y!Um;nGKk$#oqS3xgD(Csh2kW zyqgLme2JxgCOa2QDgm4{-~v^Fi+}1GhWzCu;0qxzmnOgUlM`(V^&*_Y#-FcGeMD1` zfJ;YN+j4fUkR5dTm%jDW=4SR5QlX5uVG5r!vgc?nXW`ZrU2SVgVQ*?%XaWkU3JYJ~7gO$oG?w=2GQ-R06C4hrW1y;>(=6biy?5PNuT36u@ zU|*14di{!LW~z?aF@QguG~n2`5>OvmngPYTljEmDM?(5(dP?CUH{5_?aweN4Cmb{cAkLNm zb~&7medj7A@N!?M?(yx3=%=26GOgQB1M8F-q_a1=T^>Lhp!zYc21L>yS+hw)sv^^~ z!BX`S^Cbcbujdwwo~X}V#*qN!vfgGmC2vl+5I#uz&H!Bh0+>5iRNTm1s7|xjI(mgC+8)OY<(EKR~89Qn&Xt@SR5 zSya!(#n=9i(1PzE=Qs)$xeXnlD&C+5C1TzKpGpdhXps*7vlj?Mab{$HbRja;V2`BH z8HR&|bW$q@79#OAa@&NP<=pD%E?{veIvD%Ew%V*QM939!AQV52*wPsnXyPzLqSfZa znKwyK&>r^)H7YMiJT#a;NDzpPX&0y5@jSV_gdjxG2#rGw3@z(9Jv6|A#!^ibJUM~| z*J3-G*(U@6a&Ovd*G8jJkY06gXY3X;Md|1N%BTEdXAk7wY<7rjIu^u&As@(TANlCMDU|JVYd>1 z`c0d5L$0f*l1b>(knFkRi{tU;Z7CjqFDFrmq(J5`dt)0^CU=ue3{@tS3-70|U`$n)acYG$rMApd_ zz#guQ*KZe!y*ZpsWiEA~;g7d^g}d7?-(A(a+m#Qfm%o6lCRSivO^*CyF9$**W%U!^ zz5Am*BZWP1=b6nu0bL0T^FTJ000&u7xih0OD6jWDc&0yk;)rV9j946go>1C^CnXYE z^c5D<-ZcMZ;{1?=>%iU9));m+AQRPF(SsxaFrW^epCU>4F!e&x6`^hqpTI)6?NH8S z+;gy+{m~I*&8Vz-!jel9aULNV&~a>vU?U#dUi^1rA`Z^Ed?7(=%;PJlTIr!;n#yX# zb~khI?df#C-`8#4&Z_kBrntd1mPF36%)XkN`JE&Z4=UwtC^^8fP9iILRV4KT@K&#z zrzn%Jt73Qw#5O8DHVJinvDjZp+{3@t~`c+ z0_zjpY>npn8~6`#RcP zwsCaxH!Q@jyWx!;!IU@D0QtZl_2(1Dr!_YqWW(aiei-@T0`*pJcw-X?+t2rC&YS1a zR={_~3Nk`*M_}#63|6OP=bzWPYIBuKFF!qPdAC=6@UaKwBNGwU))x@y`e5FnH}t$- z_>jJcGOGhdFg70WZac(nf}>oxm23uFvO~)SqmTv*zK>q?->A1Iq>;}V z_H0V+Ehf<-H>cm&0AApmG;3 zMfMLd->fG4wy(-ovySK8`nOP67);q`HV1H-mjH3_ zm6MH>3*4&CeCCAR{A{{CO1j9-4O55vv2Aj>u3ucJ7&!iom>0D;sg?OKz=SBxIQiQZ zgNq5!_-^5#eNs32fe17=T|D<1_!=f}!ktvqiS_w=s!C79`IS3aZiZThD83wXC<^%x zjd+6mca28Mm-Tm)kvAaiqTcdLm9hM2fHUN#)_PIIa(A{xC7-V~+s;Rrc^4d}d!q&Ee65t;lkMwP}KohLhNNTsEeHSW&)Q@rH5>FFmf)3g|`emw58*BwQ1 zS}p-TklC#l@zaxy)IerRb|U@i0~J?!_@G))kt2A(buZTK-0z@K9Y+w?IN1mxz z!-8F;(I96=SuC5mCCm=Yjx~w3px&1?Fb^YrCW!>k+7zs3Dvfp0LS?(`{hi+l* zdEHalGEEgZE0Ta;KT6|C>>dUay9=>F71lgXTH%CxKB(C8DlT24JbHo>;6ttcSH?2A z3I-{+2f3M7Abz;IS?O|H0=bkX*W3he2bI9`uN1GYwrP>@G^Q~NM{BFk5PO*Xn1n6% z(h#YR({9=H%R5X<(JZSK+~sAVB4sPB>`-55>0-=E%zpE!&FRnX-`OrJvq_a6oDfMR zap6w0am7!`vdquuH!$o*mnjqrgil?Jb>gChHo}B^I|Vm=dGpUQu${DZKS+U> z2b9Q)mB4GUF7_Asd3te6E3-vk-v-y+BsnuA$tE16KX~)HtqPC#k?o0z3VEtS)-Y*t zEO`xEf|nefjaV%z8F@t}AvuMM&%)A}IP5IIELp(bpcW!81F-%338IaVn98@;I|s9y za#qK!0$M%ZC3mv0kT@2RW98!WoIXKD2|E@!$3Z|^toLj?CUE1qqwrBP*rHJQ#p0~8 zULAEIPkk~@Fjev)DO}dS8`UZ5e2=Bw42_3u(Bfl@9va{G25#k^)E|~}QXy(^=1ur$ zNH^EH;Vz9|_rx*(ts)Hpw&KcriuMIFOu*)ziQa~ch@ROHjm?s{jje*I;8qxG;i3?g zC8e&x`ic|vte%Y#lyEzYr>2i@<>3p9euY_MqfJf5`(MFd&UJZe>_?U|qm&9B(`KC( z22BU^PRLh$W~TJ5x1{4H`?2d!CuXC^$4PlbRg;tU7cv!Lo>{E!cIWS0oPl207BxW0 zJ`Qx^LC=Er<0Jjs(tQKhm9XE8l5CHInZWu>R5NYHO-7*2bgJD@6%~EH0vE@qS zI6ZuxhZalUB1hm}fW=-l-+HP3z-Fbi9@K^m;>Tr#K|bh+a>w2$Jn!|fs!7P9%nUwj6+jMlz#)N&;uH3tz!U3ulxAt|$}5Ke8?u z%{oWx=rvbVdaXSV>wD`_@0Gis{1O+HbuxNFGCi$X%m$g$fh%~dO0+SYl^4cV_P1x+ zXy+P*j0am7hc7e;o1hk2Y)vCsMl0V*Cs!|i4j4}~+Q$f>@o>Dxkq2(N?t!#FM`4|R z%qxl$Y>`$MQBR0h{)IkS>v$0XlNMW!IEsjFzVQ*s;XN|ZDU!RZZ?_+fahq-L*)=Gm z5EM15WxHOI(%@sV)9W;()|7H?w4A18W55akGK~Rc*S4eTLm1)ixz5gGmEqBx_Wf1p zdv#Thb5kp|B!8-9xpvh)u>R)@`y@un+X_vWgK(aSn#FRD+*HN{{c5Hsgxpm38je|< zf*R?V>y$zjSmq43OpX~PA0ysV=;U-)Qn`t~aHO%lTD2OA-yU+#`)xH?SRb91&Ishg zF!`u=zJxyVs<8oFNIqu-^m=abn{SGi$#SzW$_`?~AmzS0D!ZhAwGWdP$8i4Py#71u zbL^=5io6UtFurRhAGD-?)I`wRxKQ5eh&5ZlVsHtM=jSL;=UNtOuzTp>A^?#cf6^@{ z`~5LJLT{;-BYk`&eALdvjo!?!LhI&YXLZEUx6ozCx58ucDsw>Qjo&7xvtmi(OScUa zJubZNjqGnX9hU-FA9IK;$wLd|YsfqC!?(Y|a;9rrr90e~N;umm3%ethP+F%oGiU|0 z&9~-wAHJ62ZDqv4Xe`aXI*}ahTgI}y>N1<`tBUDtIjUlwO>(EaKKh>tzw2Jm{7%F6;M%`uXuE1eGXOH$qsDZUrNMGk5%u5Or<(W zOvNy(X&?k6fVdbi_;!y`%z2rj$*%07u#&Hhn6t(zd#J+q#ev#7SWGPWYl0W74On-1 zp!*wh@cj}_{U|J^hFzMUNwwQmOP8ktXR+9wE;SrNcTIG5d&|jENnm;_9g#B9;-`m~ zCx^jir8_e!_ovu*H-xifInVdt<7WvM^}~1VPk)#?8~E^(r=LB1uue+*2))&wqOMmSslweZPqct}5#+QC25WO{aix)HS`N@Og|)=Ev4LKFUE0 z!(ide=$ARhb0s`)xGM!U`;#c_Eara+YC(5Hi zuW?Z8ww<;=Yccd#+$%xSV`eM4SuFCubhGs{C2ikXi>3gf)$&tbV|6Ee7SbZ=s`Tjr z0%&eo^tsgQD@Pzx9^%WGT6=!J`h*SDH#;b5ZiAYH;x;S|sc?l#K#?rpOdh65p$l_@ z8fNm|g{%q}h7`FA_VBXm*o@aQPkE)e_b#ecui9L+b>i4Q0ojV4W)tb&82Qk6E#&=f z@Px>y&ee05@Jd3mu5|8}e z{r5riY=W#Apt*e~mtSpll@(nW6<&)2-r|Si=opqp-Ht1Z*PD2CA1KQej_0pUf-2wn zpDL+ae%fskbv*kP-H{>(s*G0E6n1N9=Op~l^XS6_`_#Tu!Y7E+o>@9oS@7k98uF!P z<25(Tk$_bBvWd!NWSHE}5F;Si4cleZ4NK}H6rv(z4UDMIoKZkCD+jpaTL8Cnq6QT^ zQOb?(41yKjm5e=+4`4%qVZUnjMLHrB8_Vur!a^|5ZhXa290yy|s+nS!ew{)l|BONq z*W{R}jAy}4>`+FVYSrKaX1>%EN|n1XW?jo$rZ8w|MfH=jqu68-?e)s=SHW)yU5)7B zvOg-1X`NJ3$}7S>tS3vg*hQfq)-rJSEOswpmu_58Y{nz`uRYxEgV3hHAv?TF5w z#1en}aeC#Svc|Af=G{xEi=(Q0K!&r7=nUqKM+yy0w2$=WL^F8ISbO&k*W1l$^qMA8 zhHlITuN|B3J`F!Am1s4~7PjA4ndul@nz59L#=>oXjA03($Qg?u@i;DiyrP5kIo}4Y zPV+4>6vuc)Dc=Q({SAHnRQdG3nx8t$tIT5`Y~b9^nnswx?>()m zXGjL3c{}X0rs4kv!TFSAPMrV>)rslZhG@PVDNyWrp9Y&F?B55YEZ>r_F>lXS{O~t7GJv z?H_F?aov^mK7Y8rXG?9?1$f5BJ2eLtNxX#;OYOn*9!##;Qh6Lq}#-26f)CL6a>(?aWun4+L z4xvPnFTtaS-iH5+u9**6?P_xIsY_7JhRJykj8bAPHO(n3!suxY5!`Ygr}yrfEhq`N z%sF}1sQ=VF(@9F!WYQ8l7%T+F50XG`r5V?9BgUv#^hToNXaG+_Dw@BS>Zz@14zX7N zh6BqPk6il;rOupX$}UOQ5PQ{^4Y&-d0MHsAl;RCm@~6)P<5ZK$EQJqjyMDLJDjeUn zym<2HA7o8pL77R&6L<)`>BQ>vtSmU>clk1azW2Lf)^kQwT9xlJxi1=_-#k_UU#~67 zxj^ysM6T~IzLVcuSWXDEl`~t|Ck#hhJLj~`jKvJaW;ljj%?4W@_g;w6Dgo@T-wcHi z^{JwF%@7cfiS{b_+2#s`JCf$xGAWdxt8I1jpm~f zyT*yU9W_I~aYF;BG$X^@m;hHu0Nz7cCxp0En`6bW6%$@2;;mbS&&)Ps#+>N2&`dsE z-`*WEY2d#>Z;bRcgxHa~UwrC1H4ukR8eD^^x2u;NrkS*Qokc!HvfX;|_DBv-<|0Dk zOQA=>!Y|;dkS&|Oo78DA0Uyu8C&?lc3oFf3XASUt=8t+N@aPgzss?;v%8O98TZz|Z zsTSx)$&Rd6^oOyzc4-}9R0{iqd=9~b)~mGtfm6Vbh392;a7{|m zFm`PN^70;Uq*JTP97e@PI)R*-xD4>KT1i4nHfNb_g~w}ytw!u=K8HCw%4oNoq=Zp# zKy@HJ6NEQWwr^<6;SG5a#-IUAh~(AzN^-T@$3mT{zdI)mU!tka+t2;LYEhKOoKn{T z{O@YW-DhbCK872)h@~gDL*1U@o?{~RM=6$Qx zQ{Vt0FKeY#o4iDgSfHIdgH6vvjpTTyw?EDH?Yx7mv^6 z%&=dn_Z;bG zBr5PsXrf6dVxOda3*SIFTF^$R7!efzqBu@3?vZr<1IT&ePxHhLoLp(#E44;-cl(=4 z6a&Az6?{GQ3boAL1SbGJ(&LFi1^BsyWbnHyD&k1Y`^vtsb$Q*{{8nHi5k~(vAIfuTDz@E3-k%;YVEO>2fNGx4!Y#8`V&wxa&8+;GIH2AznY>VGEQUXbMzVZ&=0jA;@+=FAOCzcL4OOd zUQlZf$+tFLhxjMSkSe^b`U^wt;%z<_S6_+K$Mt)1)?goTNC}~i8GxgnTRE4}h-&64>toXQ*#n(g z?;QRB3Gv>1NW8}kll%Vd<;1`({?ib9seJyqvr9O?+8&DYQIg2MwZnA;w%mM2HqI{y``>N*qHhqw?m05CD}QtZ zAB{1>ADnoHJ;O;;ooj(Uk5a?{D9u4+|JQ58z-?~zodzEyk1_w(!2jKK`u|U_8N%Mu z?(ORDP2hg{1(DL9<7;mB=lLDI{L-;*^YT$bkfOOgLBOsct@Vz;7rc6;Z2Ko1k@28H zkom*e7&zIlK7oR$9?+_Fu;<&n99dzR!FN=yNpE4t%e$&#z!y)wJz{(T$9KnHv;nsS z$247;74rz7%;HcW7HHU*oC8R{S=RdSiMC^Cyc%eZiT+FYNVd6>Lmaa}aV39zKVJ83 z825Xt(ti|*3GToTE(>!o&nrXc46r;3YGyKiSVgWjlR>*cnY|r)1kvJtB-Vt@YLSx3PRbfO<>dbC{gV*i#ttVIwt5So6 zdim3&Ku&z;l9}-W#mo^}_fZuty8E99iO?MYb#&ZlYlK|M%aT!Wkb-ocIX9y@)UANq zHj2sgU!pJp2E`8z_6t|WH=I)$wC@c1RBrV?re?m^t!7pjzHVajvQ?KURLf2%GFFv{ zg@J>mef?iZKA#zvK(19|qlEL2h_=+W+#{+xv3> zX{+IV+KLx^#Qn>e>dFl;0n#`DPUvE0-_)D02e~qnQh)HHc-~)RBEq-YroQ~$wHUh1 zlVpW`_18O>A}zR79201t_hmls$Z~9~tm6-PG_rbAUG*0*%bl$->{-)O?vtDC12+*c z)2jX^lrNoFfg8uEiOtM2YIY@Dk*?eOGUd}EO8DZgOE#bGI<%zu4CITVEhhQ_y$!{m zB9K(&wHqxz-~Hh&M$?p$(HqEKcVA{wsHLrwhOcl}@LaO8l>auuT;#v3`7e7q)NDW# zCLZQ058r1}1}$Edq(HtmTZdRS<*I+N%6H|h&&s8~c#Kw8)qAVvqUtu&p21a^iKq5q zvFLDb)M$Ls>;rKZgqy2zB?4cJg?Fk5*wY6?{B7ZDyS^?sYQsy$^TRaluaREPCdRky zGnKH{`SPRguK7i)(tVX~#cx`s^n}_a!gY!y3X`<>oby$t2D`kz$YIEIfTCOU>L%SV zZmp43Xi`L764;}SRZ-A3SDq>yxna{&(vA9LqF&r!NjVzCv25Rp56PW2Z{;@IH;qu#?w-BjI7E zU=0q2dOzDr1D;L&f1>s0BNV^?J)fi`k5(DJH!6>dX`Je&%MwUZAu7P%=wL92fVm8x zWSU0~w@K#hYAv(R4P@HhrvT&DNRhN|)I@ozVc6<7HB;_C>%j}2ruU}um|qi&H|eQw!ZCL(M0hrOx!ZZVYX zi^m2J8a{N)IO{z!uPeFXI0x~rIyxhYb=H6DYlEYxUU6O+qd%P#dvDX_ja6Y&y`L$h z_R@H&NF$U>?^(&v=FpJ~5^*mLx>&Z8y6^%&>n2OT`)*_9v!8;X0=qBxcryrU|EI=J zkg+X*zj=%0BLkXs7JC^NXAsS+I3F^&Ym%SP+NP#8`Lg1edNRm+jy74jE2B%J+2v1$8;2X-2$V( zY%+m_F*;Vy;d#mQneQK=Uun9%N|2UHAxgcECO>YHf7#v@x4(~@(2N_n8o*pp!l&d- z%Dca^m|u)9wdkhS3SLxgeTDEZd@D-<6pB#dR2v2cfaLE)TVHj}E@!bSx}cXFoc^8< zZ-Z{{GKjU8H3F&yF%O9fSu|ez#0chyH|tO6ypy7278j^6cUN;0?kDrPnweg&G;VS! zwC%C{fslCqSioMqi#eS>S2Z<%S#cIe4bVUClX&)_tZlul2Q81PI*}B<9+Sx;&G84b zG}ab7m+lu8@Y3HQTXM^@nYMZq)~&V&LzU6L^}_OVQ8f%sSCbfJtGMM#mDNMAP;)H; z(X8d}ha{|Ct4AxWubad-TBjdKAtdUjM#}{pAKg3pAfs;lMvhS*o)EeND1JTd@Cck+x&->k+9|0R6vXmIb7fF0J&Y_e6(yU6a)z9 z*9;?NizDsdxXwYq09bCAgF&P3QFeyN6FJ}7Z*r_f`Kox^)#K9dHMxI%((snEb9!1V z6{*z_b;Gp|5N*S~gE?&)9#($dV`FX^AJfu8yMQ4FsE0DI*@`=3%+|u^ycS4mr*I^` zbdh0~cbGcbb6BT2S#5?5u!3J ze#HD!Ai*SGy}UKPeNdhY_t5+xrSYKkYGlaG+{M^QeCD2e@sG~&E*UaHHbcvx@%R*M zZ_1j#xLi5bLrUW2g)BpKL4e1mTGjr%hMl+i@`vc-19e0TTXqlppsA#T+fP7!?)unp zw8?6!+Kn{u;UKij>?hS5bT!M?TYkBDA>_l6mW2a^g5gJ-i(|+9N55+4w7lLP zR>U==B6hjrx}PCaK;&p=%oS5;lJFjdKEI*Pc${}|xoX>d?Q zaGAZo;CNHk%vsDZ&>Z{st?p6#hfd-3eLbH>80t-~STiWcv98wh+X>UR#a1)Qz1W`^ zrUk~Y(T&vu3GKQ2SO~aO{eAW<_9NQtj{FM0`~Wn|0<>*{wqm- zMc|~(uktI+?>-It8@i2MC{YtG`s#O|3*iVvEoup< z2kvIG+y|;lI~yv0I9e85HkIb8Gi{tcgY;?e_i%c#nzb+RdkoI%s5VoID5D}#hC&Q# zPjhC_0J~#Bw@q3XT8q|ZC5FPviz0Obh2fHE;>W)J`i?mX%1^p3yL5bFD+LCCGTjPTPkStjd0zTl^@cT>|;j%W4l@ zJA2fcUT{Od4DA^?)!cD1kl$3wWhzi1)e9Bf@tb2&zsb7oy*0AwGPx;MP`;49HT9@T zR&DfNOI|e~(1R;tgtx9_&lTWwZ>W(t3x|pk_EG8$wFYj-W~s3ma}pORr^^NBW9hYecK47kA(RA>u32KOx9=_ zMdqZ1MAEkD@ZVVWi&f6B$n+e zgCsP#1Wcc=*ripLTIgQ~5dDl?mx9Jyqs+RhmL|ovmM13^bgvxMNKHPHvr=u&61F?2 zIbgwSS>?}wQ&%=DAm*rlv2h7R<*9%}1OIM0fFn9<#i_lgQo!|`Cg#GA8%@dGoqbav z;|^6*F!O~ML2zjy<+G(Q%b;ON*QTGTGF4}Z(&4xY#N>p! zmSe9Ewg+M+B=DYzbiRKl?o@^2R@pP4I4t^UCDcw387YcZ_zFTu$7n2}nH;|~%b5oW za`1UHk0wbWa$~1zcOY;eWV0TkD?j6u^2WHVK#vwC_vmp*6LV2e8qfb3rzWaAJtS?O;vcXr;d8BLID{+1TGDnIcn zS-;82p)%Wkf?W7a&Ut+Nbm_VPWahm1-6B!e>W6CfyD_1yhNF2xIoz!#iKg)It5%>D zdL6xVQz%0tAn7)&;vJYR#PCK?LW2+8^>cSK6hDn$Sj>fCi#sN17aO#+?9h-PO?|~4 z;&sX04}BgM=vY?RqNMX1jX!fdgsSw?Dt`~UC*R10^pih@T_+^i7zOy;e0x0By4f^$a z9kyN!rdXcRIh9rCi0OBFf?LYE4sLCl-)IhJDlIatrt2DhXW;c8S;!6*Y2k>fY?w!K zr30OKK?aTJx%SnJk5rDd4G-d=dodfH{YH^RLejS?v!sd2p9|dT9f!excH2J?Bf@d; z?3^lf<-&t$YDY0I5^lBfMvjG1B&5xcd+|IFg^<}>4R(KU*@Q@l&9_RtssrJlgis+}h*JeQRWHXOW{N#XS(8MZr%QBrG2Rcstm;KT;8W9OwlB(@U3c3P#h{DT3{k+Y%m7Kh-5hR?hDpua&ZAsf!Fnyulh1sr~{4K+66;z2hQ~qP}^@eR7M07|O ziY62^|0Qe5uXeDbpL@EjC0p1!X1fn&*;RHv7W2UkIn07~HjAa@Mw#Gt}5n)gEl9 zEIY)V^~vTOXXlcIlS43dM8qzGMw(C8hbE-8kiL7wK=NZLLVCX)Id|$NE}yBeeaiGL z8_1q@;n#gn4Xv&>Lj~sExxEFRcPY)>+~oFjJXKiO%5g>J$q_mf&8qo+WNy)1jDM=I zHRH-9;M{j8V5R8*wZ!ITuq`p{QV95S4jl=E&AFn`C%4Y>maD5^m{|&?uBX|M@eT=d z36k3aV$C*JkYlS(n)_uftBai17`y}uH>_go)A?+rCozZAHxzuZg~(hAGJ)H#p8u@d zK`{KbERKDkyWy8Mn|zGL2ot7eLZ(W=%@la9VJ}mWxujhii>pB+ z6Owei0>#q!?iU7GqhGgC`n~Nqs|683=FK0^Ju*BqA!ZGU+~q{{G7U(csAY2f)u$%> zs{YlI1-**ovjw`MsS%K#S`z~e|M&Wgo3jNGWJAfyeGIuSG_6a29a1UC|KX5|0808c zet5BEn2SeN=zKRVOcGZkYp@y5%&$#@vFH~P*)Muj9K0i?+~ zo)E7xgm#G=N`=nkZjo>lbQ$r0zW3TpyL_ae3`~@6-SB8IvzbyaHD`~Z1LkVv8o~>0 zHJWF6G3kQ4OX zJZ2zSJ#AXeEOS#+`G8r7FMc^}rIjncU|3$rK{_u*Vd$n`%k#jL4l6@<0zkOx&EzU9 z*O?pGYM|*`c5@*&w1!zv&G+!ZxRIx6irUz@V3fivcy$vSNfJ9BaG(Z>^~$Mj0$q43 zbkboFB^W1?u;SJ%8u6U6&Xz{=$Rz*bUOr+;N$it?kZGm9;UU+WNTi3GX{A$sZ_@^o zF&`4;t^7=9x(wlZ^JD7fHJa&zYFUNh{tGjzvw`Zqwz`G#;hUcfFLH2MQ5EO2bW|CK z-Mg@p)zv&F2`UL%iiuwqRSG7sZR~^aY@|bB|5rQL8P&wvtvSbnqJWBkAcTVo(v@zD z9FZoWN(n8YLjV&%2)(FClXd_hXy}p90|+D}B#KB8kWK>9L_!Y%X`&R*9rdhp@47$k zpZoXwHEU+pytC(hXYaN5exK)w#Wx3JXM|NYDVd92rO28!zfG=MKiG~~ztbP(@c7j_ z>Z+1k_h5JIUpEnxb#9jD<2Xl>t55J&IPGfsg|%XdwYM^_S#2HQXioL-uwE#feXC4C%C$Pc^y}_J@84LRC4F#b%M`-sy{yo(uKGl?c|l7`bmOE_LBS7GMVt z)nTS%w-58>1SjGNLhyS5sruMRS<=TglgujV?_6^&Z{7W zVcY%hyGjBxeu^Ddy$`~9a}1vxdoiH$v1(ndZhz)M_LIXyBhL;V0cXiJ3iw_c+$a&_ z4(8*f4kYLycRN9W84tBAvFD?Br+riUmGS#qY2q8voKxt-{|8m_Q$IQ$%p*(!?~L9K z>7+%XjKm7wM<-nDe6IWXU`IT+a`6q1xyiPyt)VJ4f6>>E3peb^a=If(<9R zWBezB-j0D%*3P&tELsGm@d0)B6Wu`xVT@DO3a%k$c#l}sVpuvqU66@45%6!;vbHin z{a#ArH{*i@ghJ^ZKv>?;Ab;|IL3^_d}D5EsYi`@ze* zSKij!LpQIHxa>kSTD0*u-%F>-+Cz8$2>70IrGz)5#-n{$=*OUqE4nI{<4P!sm{g8( z)&YzK_e5Va@l9ex+_2N-C#o0Kfj+G~PXXk@_RWt6E?d4S;q`N4C=>>=jp7NaQ6r_` zKoraa_-^4<2Q5F8aYz|1PiX713xdS%i&ehrrs|7v4Z9$iJq{Fh#D+wLR4JU^Uf%=U zyZdalW4oE_9&(h_23wftW&PvJ!Zz6qoi`Z1i1@n`-XVAAudh}q6{@-?t0%n^G+w!` z$2;a+X2NXE^-m3D9QEWJF(72C%@)(n7Ehn39W=|kSc3E_F+8ij?kr)6H~x)|Q$V^l z!$@i)F#&Nv;mm`fz)#^~r>5%;-5Kj#j-T#^CC3qmW?%C{?rKD?9O0$k3H@p%N-DGC{$;FSC;>FdpCQ?_YKlE6yzgUYqUTPDlEF^=M8<1ECEg;Ud-~=BdhuEz_NUW-eQG z4y&Kg{93}y9P0{qM=ibnQ|wXqc!c=D%F)a(;UPZP(7?2cRV9~{-VA`t5Oh`kA zjd3166Q-f&tA(t@1AD9J^S*nOhXf2*xFCe=Ayzh?#maUnErXnIgDF#w?6fO{w@)jZ*MlX}tn3TOXYE=ufK{4V87gf`eTnjyBK;xd&gMg#KqYa^2lZDq+UN6rrH#dHNKGp9zB=g$G%K1LI#={ zd1a#kpLA#gEFlc~K3iFF91(`6%OZjn*4Xkr+HD%Q2A*H4bbg`S$-;+9gE1%g$?I{9 zYz;Uq{%Hbdt*_10CG&k^=7i6#k!?!zNE$ERSRmFh%I(@HAswbFyZ?k4(J9j#HnuX7 zr|itZ(dTFSGkkyM_?JNkLepD? zXz1~eUuL6CfUs1)hM;I=XrBhr6sql$^A-1oml0&gAZ0O){#&`sVl4epc*-fMAte@D-)Qu5J-xT_Q<_IkWW5IKF%~fvx}0M!GoB?x7vysBTa;uK#L56m(Hdravup9J)=)Y3|T) zkGNJ?IGyS17ueSO5^(yaqavCZtM^s8!i!tl1p{)a`TB?13V2CWB0=XV`#JhJ^Z;tj;FH`?IW5NSBr4xg`^^o7HSp;DH;7KyMvud+YBy z!eeh*T|QJpQcz6|eFsRX3*`;1{i~RI`{v;3JKJNT^y4;9ECBhKxtEb=KUlA; zHA+HD?$=?|3`ph{#+E`$ikM%fI4_*)K;DjgbqgmSQln_fm84KZ7(^hY z0)pDi$oZ1-JYQdCPxh*3y-JOJVh&A|ue=T1dfWnOJak49`SV9gc@ym)e<;j25PZHR z&^UPu=KL1&a4%G<`D=I{Bg`Y*4;x9-8e^m(IPp>5?W z8iW5n){4@K5{J1_FGX*WPiEynqrWd`B!c1HL3(4V^O4EKo5zMhRYO7|Z@fYdam3D~ zQ7-Ha#8w6npAWHOE8={ATZD>n3w@jKrDzCM6nUJayCPg1Ip@syp7~2BYRqQFN&F^y zcZsrMU0K?de0@zR6E)?g|CeGtQ@+UZO0^fRbVI%zZ!iuIn+ZWjMn)FH>N2-dbgnlp zO+T2LXew{&=}7yM;P-YfCl|`&=bR6TVNSTM&>g7VrdElFtLbAE^ZH=hY-m?+^XU5X zBqHm4kZKjjREeDt=CQ@4OI+Oq2d~b{o%(KObajU4M=itbS34#A1s)CG(_laH6Tz2b zjriG7b8U6_S+jeS#@VuR3&UF0>9Vq#uGcDtaP){rU(B5lm6&YTUGQXxf~^?qzyzku zxpD9Q#9_*}XL-=*wSN2Lz>XNGg>UF-;+UFWJ?Oc!2N_Eu7SoSXKW(J3iRI^m3q z?F=vrFYjJbd#kGH*BGrc#vs$r6^V}sU2|VcRXY_)K^qLUj`vZ-tnV;0#qDgchaqfn|QmVwl5d;hKUsJUj zeEi@rXmLp3OV5(N;c*=mU6HNV-}2It@~ii-nwD zZ>0-c{RJYnn-{btfEUkgSMUw-RAcQt9QN8iJ-ux-@)Y0?vC z_hL6Aq?W$-g-XZKSut29IB7J9#oUqdM+zzj3-_$QJH(cmue^B-%syi5I35soP^?#$ z;|iDVkVJPlHtyMAhQ~P`x43$j3R|N8o5tRy{D_4&I{x0qnl|5(z-Ef;up48-0}oU{ zM+GfdD9PK}d9DfQ5rliKa3O_SljeSyvl@@3PFXF(v4X~^^r%#d`NZhFdGFWpGu>Ja zA)D&$p8;tPSb+qsb{#&cTN`+CK$RT*4t29}0XM|wKeHJ)Ax zQ>i-k%{AH(Fvsn3@Df5KWYF8JULLls;vRu|&y&O|0X5A9Z4ZXh69auutBvKdUEG6N zRGTmXPexv!YBA_d`x+NVa?2b44nnK;uFZ%~+*NyHVN}I>&!&Uc+gwsofQsP-9lgD^ zfGu06M4*dZlcQG-FA1qK%*&r1T6pQitee^&nETMW@<`8ORB+Wf7?s#lKHL9MWYs7J zPKOz$G*_^lBmr<1<%=uum;?>`muS>+Nt5fMIDYl^ z#@J_2Cb=xswr)$cH|Uki>bzd)I!X;0V@BEFyEc`09$_~OtUd^kE15XweoU|S%CTCy zF4vMbX_o)sei~-wGUtwVgxCOKU5`B!lkK`yXaC!nc#6vUTj;BU(VnA)#21jkrcJehK9X|R zhPL;(FO%xVeYoz0@9k2&wmU9s2xN!0j%5++&1h`=ezvLhu&o!FKnP{4JYB894bT?u zslaFba3+RRSzCZ0=W>r#C_TU;koeP08JYEUR)pB94QQb4ZVeIeQ`@clZYut#qZUJ& zpP$StX9+%iP_cWr_|?uyDCUXnHi9-PW4P>ioJ7t2Q|0vbX%_ya-Blz`%9zV@!;QaA z826Cit1PjApv{^HU*iTnHIl%s6@W78(6gU9Vmh0iT=}i$rCPw?tQ_xq&q2?nvJak+ zZN4_!PrXSkpQ&Y~^Bq>nfvuTP6yb3QC7=%We&z`{lbLIz<2+PGF&n^3E+cK=HMQ)S zBk(R@^%MZO2+?POOU_?5vGOs0aCi+JkAq{=VfA4<&4U5(dtGIDh6%F))xt;A!`0GmsZQP?FzzGkHR?|K4PthjDJk84&c1MoIo(LL zNqI{+QZ(9PY|8*u1BRw=$SD0Sch3f1&8F`hfw%v(f(~FK0ZE~#pUOr68Wz~UeDI&c zf2QC+f5HF95&BqZfmEibZ@F7m$}i0@Kt+spP{@tzAIULg*twQ{Q=_@0l(3oezpfp5 zI}a&5t|)$rq*JK%E0b=`0&feq>YbYl__cEnNR!2%km#^`dgO-v&uYiH0P?tA>g2By zP?14#NmmDyN5Av@EDZ(!(L(!FK$*db?MwYcg()-xwOYHzcVGV>DZAZc&c(Fe$nSr1 WmWEkBQD6NP4en?gX%RFX9{m@pIr={U literal 0 HcmV?d00001 diff --git a/images/board.jpg b/images/board.jpg new file mode 100644 index 0000000000000000000000000000000000000000..504c4d41b9872ab9e2f047ffa3248a9256230a2c GIT binary patch literal 62552 zcmeFZby$^6_b__Hrn^fCY3VNM?rso-O?L`x8YH9}0qIT&X%GxbM7orakQ5NuprF9H z!AIZsd4KOY-#OoPeSe*2U}~*3Yt~x#%-nm{p4nG(S1UNJa=!L90HCG@umAvn24Eoo z00b-{px*`)gkNRI6R?bUQ@+OKA)x$%1Bf7;;IA^+5P|rwGDw9$0U%%V0b>cmtv}@& z1m=Hh%YDrOpaSD_1fH8R5m=sxvImgB{JQNHn9+c8UH=higa7~&-tSz>%GJ`EM$^vK z%hl7))s03*M}UUez~05m)yMNLz{ADEEyBeu!Xrq-EhxgxC&JAGvICHk008wm=i=ev zF8E8ot1AE~K>Xb>Y+jyNn=t-3SaQ2#~+zM)`N$5M2JH8^XhX*9{Tj5042RHNpRX!{DkH zz()flfC2~tEr5uR0KrGNS_GBExO#&i0ZNICa1%g*uLA@eF-XWLsA%XIm{B%r0M91wO?BD@_B@f z%b+r(=zLu{gnIIm6g7r3%BJdC$-OG}5+I$>TeUuFdh~kId+k`BDXk>pdHS2Fb$DrW z-}L7beVd1o8D%Z~GaILZ3I?`5QJLkf1GAgo@Bu_bP+FuLnNU%X`ENwH!%ct$O5jdQ zh|Ck3Omr>5OYQNmy!4hU9$_gmI>b;|ArS+D*P;s0I& zrhkcW^#Quv{BRL4NSm>`ngM%SFagg0V)f#T?7=y zN{GTuHU}C%95Y9Ek@B^NA-QJ}9nC#M{T7$*SHL%mE1=kNAn5*#M9`+bY_DT9ZBWMM z1uNAR@O~k;_Z5%2{3jrKmvbWUZVUe>;RGD}mEJ+oOE=B>EIfZ~1KjwNC_&1PHR9#t zIS6xKXM&&)FT-6A_A6ayPa-?1O|VHw7J3a8vFaflE;|bkyW>ChOkYr-Fcqz($+uky zI9%F&Y&7TEm*{$^16}MH?Ris`wr=2-A!5Tccm-@IzrOX{erOs+1ql(mv~kcew=$mZ zSarO)45tej_1*`jgrN2Qq1(HcJ!kZ3Bl{QlhigCg2sy=4>LcgsN@h&*A9MGP5<4#*Rva)TET;u;vXXK>)9p{zg!B)qVh4N2vYpsk z89kpf-8yv{BmB|ax^>-045*WO(i@w07Sa+cU_M@k~IHCKJzHK%PAd^NUx z^2rg%@9Y@pKOY!xJpS^s`fk3R#pJ`d;8^?irQzG77kWF)7f$^>yoKgwVl%oss~=fw z1}aU0pVoc~6w4oN=^34C!>Mzx8FFlBV13;`f8V>Msqh2R+?S}}4^jKA@YbM2+tN#N zfY{Y1e`>!w11D?0uE+5p>9*~5U{=Dn;8}^i^sp}*3+xunBW>t{(8%`pJ_q-A_Nz|) zdtQp?PXw14L2CKy3R}W$&Ofk4X3Tw*{AjarZ=3rHP;ahH+?tHnn7fVMv)~9nvxwsL ze+tUyoiE+|D?FrMFOVV&>PA6BAT1|*f9D-Yz8LGx3~)pO8v=h z|L)1V$Yep|C+}Be&U=Q(UPFjG9pARUcve}*AvEikTl6vbQ}*c(@=JUt)EB)JdxazU z&+i*f_w6ry*rk5D8I({KTnfJe#;cyMb`${Pqb{2tg2Ih%p zD5vMoBU=JdgrpX4b^A-Ku)AKgjGpfxO%x712@9la-M<31l8%xF9_mx>-!>|8S)?9q4rJL-w~JwfLq9m$m1(}dUfvx1{h{U1a>|NQ(jCq z$6bC*wI=K&J52HD`%f0OJ!+aNqb%U%WNYk>lL72Ua<&13!~8aEXK8XxihxD%+EF= z0?kodh7`7NmybKV@C>6X0QH=nvbUrBi=$JCa7}x$dnY11XU!1(j##+eR= zg4~i86^4ANkAnV$ZzSi7{0h|G4d3Ce{Z5B|1ytj^r2PD_@YcR64kmfxuLBe;D9gZ*B+ENyBtDGWub2ymyo5aopT_=Zx5VLkX|ZR~^mXu2P1 zvY6vNaf5HjV=|An&pEHg7rVOnJN-64wYyZG_}=q=Qj2Ko&9s&LGjZ|0POs-W<}{+@B9(ODHQ$JX?et_qY1|G(RHQRM^%Sdf8GAb9H9-9CBx` zk>Ye*^!d)>5d7WMw(1J_;qFa-L6DQP3v)g(rVB=D%}u1+Hu!RW_t3F)>SLl>>%BXt zynFn(&gmgyEmqFX={@N2wnf9N&%OkIs=Hv?(rV#FSAIZAN3ECmWN4*!yIc9`v-!QE z*BKC$k)%UfiVv0ffqBd0U%;VrU^(~d?Z8lfN12fe!$_S6D~DIx^M-_u*p~fg0w05) z_IJco!X4+GGir0r`|)4QYI3{`!t?la*T}`yXB;g`n6LM}P(D$R?9_GT_67!^A=+lR%M~kAbyF9^-8R#=%GE+5N2_kPyd#0ExMLH^cy}pE34R zEyeiwCf_8w{F|B^Z=*un%h#S=XxpZcLsF|Gx0dhPUP|#ldYK>}zIFxV3L_KYrgE=A zMw<*IrW(}rb9`_W_Z)BGDX>LJ{AAh?=pHVbvB_S?+8A8!{liDU1N>cdAc@S?G!d(x9qGxdyZLs1)%R7$aa+LSvbde>$_WP#DDRH%s@XZ7mXSO7lI#^OOXdh z!o$S5MR|K(XfaW9X9b0e>xWI-l5UZG*Ygm1X_=S z62}c-LAWJUi#=+cFOU*X>Gq~18njYf-ivZa_)tfElV*}~OZ-}sn9aC%(y;h0*yC2V z92;Hsg2IBvw6I-Y*o9XO=Xg_CCkC`qWKS4?s0f6I+&jO)s10D;a~Tarvl`2$w~w|2w8 zq7jSYjg>L-QQkpA`tKv)z0# zI$l?m(fScpYhcKB&C)Bx%&o3~;FB|!r>aoaHz{?LC%i{vZ!N8Z@)i~LoA18#yJb9q zm83Wux;ShThoUQs?)B0qji01U|HBm^zmdw3!mkh9YZh^lNTL}glLzE!WN|_h?g8+3 z4pR1K%oHmnLA%k^Nb-t~XfxEu`$biM_DBT&wejQS-~xoCmDG@~H;n**dmF(RfZSqGxD9SD z5%Z&e;TUdkctr<=^pie>m8E=$_wVhh2i&v+#{w8;!H5UO>unhTV7htOyLf4Md%1ag zfz|81ETHM>rDN&p>U2Y)$7=nnK#%>$=S~`WH`Y z4|NM?Yh5`*T@duEvtJD~JzQOFbgaF+-83v6pdb?2?v9;c?2BNR>&5_v+aEkwyJ;xx z-F$YMb6nt_D==0+`m{$ zLmh4ZQvH`*0Br?n8BiL?wMg1lG&EMO-j|tZ4olT-(#h>jtjr>m+IRhaBUfHPp-1L)OB};#z|nAvJ9^J%5jb>+&@* zm|u$xs9M|nV)Gx}>Vocy|JJPz)ae&o(*r7Lbj{%=;6XiH-3;uk!G{ZuNqZOD-{!-) zMreaFNxOP^xjH+!y4e2J1nW2ET7-XtWB-P0+uPdxI|B1J0+j6!{q^jC?ER7f@C9>l zllnWqnK_I%IGn#a1v7~d;MaJ=zJXoONU9r3^1s(1$2WEKn-okCZd!rPo$G+{*R%i! z?2QB`H&8`@)5ZqGIDskXgaHG>Uy#DA>_3oeFAoA>45q(n_-`QBBmXbR4bSgj9RS<_ z;Of5y2yk6f7$KY83wwiu9F*Dit7>t^soFS{dE;UkOX0@ zU;!Dh1Y7|Nzyq+l27~cG!@t30}SJ_SAP$y`Dq}f9nVN1_y!( zz=(QNQIh?)zEEvFT)o}?210T5u(!4Uvp&k{TyxjBfq^`*Exf&46|7yXJuJMeuZ;)j z`nmmH&@p}yUqh}jO23x%|I_+Iygi)$_FA|x{@)>Lp0$2rEq^KulO4b8UWSG+Q!2BX6ZzKXzk(k zU&!@;ga1yBX=y9t>g4M2Z^p&{#a~+C58~R!>aH%=wnq1Ibpv0zp4R`qHeon{i`f5w zVp-lSdjA8C2|i-G|0KVeOU&0D0a#!}l=ym6{x!$&Z_1#z`-bY;1^sJ4Biz)l=>cpt zFu9&81z^1Pc76hYhcqCZ8z2K6wEsA8|8d~{aDVNz?tptK0MG*?xc36xrhpXa#&88)8rA>}pb6N4WiK##-jqOB z4M6z6pr8R{03AT!n&Gb=etCRQ0VJ>k@c(KBtJ%)W%T0un)5Vj+;`#s)2h`P>)7Qd{ zlbeH!6A+c~b+dpvT6@u0T7$j=G1`O99$FfED=}IFel;#NHyLX?dqtRswGK>O7YcKP z3R}@ih|`GriugLaIa_;K(D*t#xp<2BiqYOM7Xi!HU`|?^8xk)^G1{AhJv4@Dnlv)5 z9@aGc9K7sME^clb0RawfK7IiKZZ;YoE^Z!9F7RJ~9Xz90Tw_U2(_Bcd%U z|5smNO^o(0rF?vRIDB|HTs>?#xrK#=uX*tBu!9uro_;P~7QXB*o^-!C$Xa_sJ?wA1 zzBJbyL64@lml!Q5=`R(W-PF|nF#fOBa(2Gf>xSCXOYR}q`2Weap4Tn_PHk&XS8orf zwcJB%7caWs&8?t++PZ;GkQ)W8pq$oD*3KZAC-`i*|8(W{`@q+qCVvy|5BlbT{_X&L zJpY~i_mjUS1QiyMb%lCg_o*ZcJ}G`~em)*qX*pSbSs8ggAud@V0bW5~epxwQZb2S? zso!msTs*xjT%gw1Z9py@_V!jHe0=p!m{%G zzuW%uM_D-if9ux@Dq`d6;cNk#+}_#3)|%77&Dxfh=8x_oH?9>mS1W5VTHc$kL}Way zK}Q$pxduVkXXDtpxY>CGb-8&&xVS`k1X#I*z``$5u${e)-~S~2>loeNNWsBv1$x8( zSH#zIh_-6qT|2@(F$^WsyKNk4M0{>Xx9}E0rf&U*_;9px1YZvgN zg%7yF0Ph3b`RhIaxU~Vms|a`yM98nb%{2fFH&+BukdTm&kx-D4QP5Gqh>3=Rf`*BO zfq{vEfra<$KEPkuugZU>2&ky2*jU(Pcz9(0n^y#0fmZ|&Bq6L21n^A#uPXv?fFV;-~ou&w*nv#Wbo_<*cQA@00HokkO}ba zpwMs;N^;X`^3V~XO7RjupeK=L06PP(5I|56QP3dBsEDY4k%M;#q>%BnENFQM-K9gd zE$N6*Xuz8U9$~yPFX@T7##4&GO9YLtz;pbvB!?5L;Z_W&1lOD(h{zBK5-9VvSP1xc z5DDhNF)`_EQlZuA>eB)rirkRo{{(UYS-!2z{I@!fsVmk zg}}Jr&eQm}w7pdWMFTOhNc1U+g>rH%14V^>C~9p>Ch@;2)Dz)F_S*5kvsgi3YjiAikrDKaSx+|5`7uu)4 zD&XfbJ>M9i@OMB)!J8(PzCQmQxrfP?;Bbod_Pez*HRx{dzq?2(j znn#bTl!fdn3h5A32n^|T*|2&0XGoHH)RRj2R}NFVT(mM`@J(6?%BnO#6B6=v%u+>{3Q3T>Q1&&RCO@N{Or*7`qVE%Ph0?xw zJtL9om`C(-`o=QSavE6U(7r0mJw0nu6w?y4vbM7&vq<$@Nj^0k|s3bFwQ=Xg!xr(7j z)-`TsFp8Y*FRsG9#% zh;A#ZscM~($D2nPtFkEcCs=Gv_85v4&rRDOXun*2WFlKY%i-BBV)Qgus$)?QxA|GZ zj2A^XRr>?eBqAAg!a})O?(!^3X{6D6^2&x43`HMMm1Shpu?!2fkELneH0Qb<2Xy23 z-*;vm&fBq6Cpjn`Hv8UKuax(=uVm{2dwwO)+_P`5C(qXREVH6n*IJriigBJ~YcTmLip65F+W3P_`{-pyYk@j05+erchpFSr_j^5QD!IhR&$(N2k29aM%7Z?1c|q1)+yl^KnqP8qHq*g5%0tq7lR&dpgUA`sbj?k4-~UQ^F@7OA{1bmXJwZRvy_FIuoAmUVjIh^LZLd zYt^tzABKzDG`Cchx-mP8$(vugcKXe?Tg~)TPM*`#KNZin=6=9)PD#o!*xI>H7q@wUaI(^<_7U_PkjPGsl2eQI_=RM}9d%cG5J>k5Ma$uWi z{4On#VU*aIz(>8~APaeIqN^MEL`h^1+VJe<7vi^PHY#Q`hXlF0EqHP>$&R#`SjxE= zjRnVgDitRK?>zi`f966Rv=7;Zc{fo!vrwydN6=(yy2Y3>U%-Xu=O<=U-)e9^Upc3A zp|(ESeli&s$0GokRfJ?JH_776-C?GC!%V~Pp&~hB0SgsZFGn37Q$FnaoYAl;=QybZ zH(hI#RzcOuP&CP7K&9MqSbFj-H)Uge)qgQhr1%J5sl4Hg?uHX*-cx% z=5>j3$ICEY+Izd!9%tWSYqhDq5I&U*Hg(UVQ6u}Tj4YVJJkh(=ykA!bWM5J;&X zt$8}R5!2r7b?GyD^l4d}!swm#!L!!k&QT4CJik%FOkqB=l>JR^?`%F;&3${y%5jl^ z>OQg0d(S+dWbr1tcv^h@CL-$Ng-!G@TSfZhv#6ft&b4qI z(mf|8)s4gA#YaV|R=4Q%$~z4@nf3I7!l(V+Fmxc+5T5J2^;wjlEg-~$SY!z|*e714F*xluUFW0kiHJkASoVZB&qFD(09?$zuca`zpb-Bu8Mzh!0`+bj5RGKERoh1H+m z(?>&td;H~78XMCK$mGk1XDv+%Y)9WvCad3fN!W_%cOz{aJwr9RN7i*e*9^R+rd5I* zVDky!hmyl)!MPV`rhL<5=VRR7S6rgoT@!RP&z_k@#?jxzJ- z8G(IfETN}8%u{Eif>d6klbcMlzR!qza(h1?`Lk|2j;f^2=0xN>rj0t(i3NVI6>(}8 zxevQ_oU<`X=RP+BBYVclc7vw02RGUu$jh@MossP1@a6vlqu5s)2MO+lQkloIX!xTR+<7f0ntD7}SEZ*=FjogGv`*?8poqb&8DP)SRMl}j}B`O|v-nD)>Y5STmr+xQA zrfq*)3%%wEE#g+gR1@kW-0)*)NWCxvYjaZ_)ytzVyLGBt3EGZ5#|uAvp5~N0*5%24 z6Mc@^wRd-Y5RqwOk>a+K$gn&z^qVXDNTM|DZE17khi@_CUvFk7qogma&_1 zuG%dm3=?cgmgcp7k`B{lrj#fqWx>2)F<+Z4)$`j;+kCh7ICBQNqNd6xF$o%f^r!Fc69Ub$aK1$ClkcfVhhXQ*z~ zQ|i5cWh0mPZXq?k{rrIHMd(1!`+zRlsW+32zKbS83o~ zJfA$B{DUUTstqHhoI7C2r0ICd7Jv5Sc?OYb3fH7OZ2Zg;CjO@nJxFf{CgP6o zsp0E}D(#tWeVI?$e0?Gw*cIp>n;GJDcK_IFM7+u|d~5d2%0cIJS8Y@RtC#l_47cVwi9U_$9K<%} zwZ3O65A_p$@or!6_+GT=4@|QhY&eIRYk24UW@}kT^wT<~RNALl5slIW92_mo_l4EG zd=r9GYx|s!d@E728iy?V^a+MP8+%r4`c3jCRLkY$n7&Q%@HszSd1&jO?bqc$GM4w` zz-9l@W?RHg<;(3|cbHgEWmG?1KdiA>z(!3nmYR5K!zG}t#X_ZlgL0_KK%^hrF~4$m zQ#;rD_6H59^@panE4RZOjmCDLF^<5+-9Bepa*vPA=1ecEP{Bv|OTJjTdw2zyMaj;y zN)L_@ki044pD%@w_v~TcX5?9!Kd_L;Y0%g)tsBppLq#1LVqA$``J`Ij ztzl$Wlz(lrtm?U`gY3g76*@0V@#^Tv z_(-ZQxwT7p=|_gY1oNokPpVNhVSWaCm#ESjf591yQOq#;`FbfW$)a5bK)ZZc*jM$K zZ2c@tgEREL;SqM}CS3yN!rLLlgrTSMPts26>$7#nCi1Fgw0eC+zD1EHI=TBNj(E2h zb##ghhOShN@;`{-eo!{apojImS)Vt?Kxl1km(v)jYrk!S>lh!Hj`|QGL6X zWyDNDFJjH}5mgTJ98{**)l{peQxA2!JxwXTO5>#;BIVH^uJsv|k51uOW+HXI%cVcu zb8`3L=!kq*tT$q#O-$V?##dr5U&(6IiGoLpss$i2+i(x=y>*V|sd+#7ZrM92*X4&< zltQA4r+B2??$00h&9J8zUYPBDJBvHboScSJx)b~?^W^**=xUocQ&P&VEzX1^4a-i7 zBezRyXCHYJhCnRJLvN}`urhnE4mkRm*gU;`yysCD$OPqIQSW%s)`%aVC2E*{NKbXg zZdNAW*U82fW*Ri)Ia-aatDi#P$Z_8WuuiOMF6MdrSdz;{wU0&@*^Gl34Tx-by**%x zadJKo>*Voe$(DQheBs0|n}XGSsH@IDkh*i!uhx9TM_4eidpWEu;9J{^XN{w-I`>3N zE9r&m#|n$n@fhANq-?w@RSscmA*z4%DV;Y1KXs#3@QbzE5w&T;fw0h5xjIbK z#RrcLSuK^*RoK{?37bVFWZDxI0-gB_P+nq3II^fQx>#e?ve6Ik0wkL)R^H*{z>1zDc~C zU7U4CRZ>|cG}Sk}BP!*c61z%poN{(*wDwIU!6j4#aaRw0?PWTWB{@$@v82;fA~8zm z5gSgezM<7oTrHppFIMgBOB`PBNtD!SpL%~^2G?+hx0Uqs?cAWf1jg@O@yDdymNJIt z;>A((TeVX&DP%)2rXlslnwEeVEu9J}Njp9bvPve4CblHGoEA0LlKTO*+|bcVS0G3C zzDaE1lw*6`QC|i18JXnb=Z? z$Wvv~s$_fm)V@lOlDFJeFWW{xZv8a<-1@Wwa&Ir7&TDdW>moL_VQ_Q!Q+YVE-+|Zt z;KCi#_??!wF#*HcE9l|c^=?FPCZr->K^l6zLb?!4%nueG$nS>5p_U)ET@OBI`iOMH z7A|4?BXm6ku4Z`lNN@U{XWnt&F`$-mdPvC!^;Wbmj(wWaN{XR5D)1)mWdUQzJZd~! z-)rJ3V|!8E?9`W~U+K68o8meS9uTVE_b`Y`d0})G6fhg@!pTZ@(%SYSr=tJFyW_)0 z7Pd_W1OsL+MzXJ#t57>eWi-RD^>aL*s@@7UBT+sz4!C^ov%0{0aEcrc`(xF4J#33`4(ZxHCHi4OfytwQNrUPWXIKy8XuL_^Mj-Fb^A`QQV)Aob zXmda|mg^(FX&v1M{V8vI+mW&&v)0(!EhF3Mu{ZQ%u)3SW30R>Gnlppkcqk&CBvu*g zN5i9I=TG;3I#S>BA!l+miP{x;ciOC1!Qg8*Lf;^1@10U4A6;0&Ax)l-N28?u5+lzT z3!xyQ2}5fqVD3$~SySp~`Ca2>ll8{~MC)I~@_orpobwFsgsVC|jI^)Xh+fH}-5De( zf24q-T2zc!_QZ09mq`T@Zo(y{70bv%G7(Np^62^Jc|HAhXdu>Jz=rougRpnoizZjG zr5NXsl@RRcbQU7D)Mn(kmxo#}Lv@{#@c>fOm4N$OoQ z77n}uLRG`}WGG5yN=oQ@GZ7+*}SUSX_OVhw#q{tK2uUE$w&b1$8(8by1a=4Tu z8lO1qFdJShQwo8gv5+}w29W2Vm|zmIFgv94^kZUDSog|Ej#pJ^BC#?gl9CbPLI?^; zIZQ(a9@ALprG?WL5)LN2IW&$XJ&L7xoY8@%rAx+xON54&a`y;>ikBJFnnzzcUua58 zu&He8^@>^wBAxV_r3`I(JpP@=4L<}1-PBi%Au?Qd+%3jazV;UE<#8a<&K*8@Df_y2 zmgQAnMIk~wo)iS5=8;a(2tHdDI~Us5q*v)FRVdL(W3oz!N|L>h5H{mDB6J`xE%9CGOG)NVCL`EkKT5v(MYxFBIBguI+urN_>Q755Rz8(lAHOUa= zQf&9FTBoB&sm{akJzU+P8=F9`CgGKdLD41mQ#8W64=^CoqD!N*F^d2x4iG%w{)UV} zvWf?2nhq7R_E=mj%6v3-2xI`3n`+xw+mks!j^qwhb%a@f&CqQ!8R653Hb$ft78RBv zZ|@qKTXIzceI|XFegrB#p*Ej25|Ll^kouKAp%P%?{1bvv6q zLpx16rI{nC)oS$(9c^*gYsAec-bIIDIyB?UIZTO>7TIZ@qSpMFInN0S zvD2>IjiySKb6C`95wo3dHaYP>4=I(}UNg0;HIA-Bj4_Ue;~H)UE1iy*)SfSwUI_5f z6@md(US;Vha|jWCl5 zIpfaRer&SA9n=Dl2-|!fO$}`e(;u61E73QQnY2Vjt8n5nbq^;(sw^fZd5bE?yS3t+ zU8VqT{KzIORQknqu-pY(Yne)*aN(D#r4UH%<&%DFu7<*W^TLyaP>>gbLGgaFYQ9lW zW~nk{+@ZDVvaf2|RY{;s@KmGVM7+LacEEwyrDfaIJ0<3a!j=LIUGm~C<7MoJtUAS0 zjTUBkHMHI>m~oVh%)tRqxay-o?wx9w;odePT%lxoXQ|pt41gQw9vHxvYj-X?r_YVU zDD;}mr^G&?b`alX-%eRd{lbF5_)I>6f>I44t68{QupQJD)wK^WO^Fq>7E-cToa35!wQmRO zbwFU^4PWq8^Oh-4a;r8X%u6o>w^-oJCw^#Uj7fMR=JSkG{?i?}lBc>plvS6h2Gtn# zRQ0WO;$a-99}B6%C#DoCCbPw3y?P39<&6=aO~6?$Ltw_CMGhCr;VNWAYLJPgCfPZX z_H)4A7%rw??GMbQ!o*w+cI+_d!zB$UnkiaK^A>TRvs^q=m=KeIiFK0}!5~vw)hB$c z2hzC*a0k0U{tHO$g?v`U*lD61pMthXqFmKUP)0QjRhwl>4l?zvfL-GOudtsX6XID-08D4bP3ZFzgN2+lmcm7^m@?XeWA zc{)(Eh^jKZMHLorUd>(PxcuYP`YzrTswscXLqpK5ksv6`4SLD5qm2w6snX9dV8;-z>15nSIK ztzfGQAxAC85avlQVpr*L!BQgTR!jSwf*mpG!~%c8-Johocw4Kxa%&NrLOYDpfqm~o z?aXDYUPq#nb~CTfj)tysvvHKZgLtez>X_I`ft{D5JIWLSza#8mtJ|X}r~u}?ixQ25 zzfDvYQ88UL<*!2l84q@tb}gVp*iz#QjuAGdPr)rY$JCP075m|hVK0X(b4$x5d_uhL zf(Xv0=2k+Z^<(mjuNyp=A@xOhxO|GdT7ZRc4v%xJCiBkwedRQDSjAM0WhV+JE(# zo(H~asl)74qVNASwhXBwbga9?@keD)Gg=|b>-b=LW2uD|JNTSNAVGV*00v9TD(rXXHEyScB!i{Bs9ga_hKkftCkCs z;WD)yxEkE19m^l7BELm#z1~(RT8eUGF&Z(0Pd>M#4C7}FpI91$3)a8}wr0+?b{I>u zrzQ|38Dpy+n>NUG%McozUWz2%A;Y;Gydj#zX{q*qcy6)H&!|RdS?O^UQMX=4CngtJ zEvGz{oN2eBO+RcGCmb5aMROii*kLju9y#sMY+S2f?PW=lBV@jAV>RUak@_w@v4i;H z&WUR&)ni88%KO>vM|HF!86JJw8(79>8D*OGt9w*;KXcwfr@T+^R?U-r%>v#!g{$^V z`+f3b&$%q3%Fly8Ew{`WA)1=N8V$+t9aqDXj}^sJUQ}7f(1h~0SyhH>t?wprqvdVi>hi4f z=A_Qz+Kf+mM44!vMo^d80OATfe)5*9{Yug)N)?4177?2Ma>z#-$XUbr&2s6qw*x!z zNwmdqGRsa9GOG0|Vp@wXoTY20pD^d!#dwh3nR<>)qLiJ799A~WqYYJFw-|7WcWa30 z%b32+HZ`Y?A};gF-7`fi=#f8Zp~6()wl%u8`br|Xa$!s()4C%yQ8z6!QJ{V`jE8Qc zQRdB0ZxTT|4CZn_6K!|JPd2I1 zK>T~15$8@%(V_>B@1wY8Hq$3`82L0@iTFvJckhA{{E!(76xbFpbw{3iK9cg=WV9-OnQ~N)i(SJEM`r9SdWqg4qlfk(DDEyvqx1dRn{`g%G}DIZbHPYG>LZ8 z>4S2DzDz7vN~6bQLKg}@4U)BD=wVY@$fxNof5y^WIeK@l!MG)%^hb@2`Xc>yi~3#2u9qQ|L(xx4n^wxFHJJuv$~8WJSsFj6*n}eR@WZE#E3A+E`V1YK0{Q8WBFiQlcTy zMnz~sWFw1IJ}W_`f&@$Lh_%}ozWL}lW7XKuEEj#CEwB5U2}OxS&#c#LuIiX5s>w9H z&x5-Gq2iM!1VQo~{QWhqH(_=dw*!K_xWaWSbU)O$uoKIP3^KzK>)=WS%oMhI2Af-fPCrHC>i3=1;?&1jlUa0%(@#>d#MWNv7zwQyvqj2KX}V$aen@{= zd4#B&VEEw#i8gEbwk*<-3hJ8&(SyA(jh1^;^I8KsUl<%5DN z#s#^nLRs7F6qLvmR4oqO#->?Ip$L$D{DIsl)d^UEh zFm*=P2U2ie1f7jTwh``3;)xfFoQB^uXd;TE-CYd*S@Z42h)8N--Nq44pSsb8I1`4= zDVdw)gpFgF@s5xQ->X?FKGt4GG|jYwl7`)ZS{N8q@OW|P2G;4P-n)Z}A0qo^tVS ztOtfqo>mzaucacy*BYiUbE!GZy{0rvv1G*+NDr-^nvV%{>W7i34o43nHAWK7@o7Gf z!lWd6cLiW7kPlg>o|n?_4i>$hrz>zneaC?D2vhr%x4=4bm#&}8$^s9UMouBFPh5aS z0oJF-pk>@7%mB4+oRPPl5Pl&;B!z6&NinBbn5{gZU5yu?A-g`;&Wh)aOON-6D)vOY zVSJ2hcz|25V5~HEiogNR4DXSgj!%=yq`??WehMddg0wq`;m16^9VVUhnuApS^=-TL zhlAck$4yT~&73SRYt;l#)kT9bZ4_I>ag>w`v}DG_uKMU(ev`kdP8VF}C%TfG@-ie5-wX5xid?9q&)Ky!@BqFZOGLD7evUfeHq zkj}!T?le!fi!FL&73T~qMR4^>$lStJ7jqs@!%q)+8zjJ2=@}bct$~p&4mkA97?;n+ z4krvL2&Z#d{1o@^-euJ1d#lwDV@QiJA5n0jnb(l_F5+=yW1>9y7?%(Z-_BsNvR=&#?#nANFI`&Nm#}~pQ7tlg?Ta}?sXAci7W!}(a?Zz-C%0Q|viLvplq`hNL@d#HLAsZnn%)Juj_5Z7KAhU&xf zrB35{!UV{AZW-qkz$s7?r4$OPXGJy*z#T}fe1m(oeiZ5XL8hq7<9E-$H-K9M7bSVd z=j<$o81*NK4`OJiu+PEINjaxy@z8Y)X)^rnx#nU;E4SW)zM*DxSJR5xelx*0{NL+( z**lDDw`gmpyBk>i6578HpaQ{#mo*qz&b@oX| z-Eg(Rs#Qg|=@GdRia~$By)l}sgV@ZsvN(~?Yft9o-ihoh#oJZMyi<)s=MQ$%{IT1Z zGCvXJ6u{_t`wsX~SqaX$0PhC3T#d{a4%wQ8W!-Y5EOue~B<#U`6uz&~PgaXwukVD) z@fv5VU@bIGV=>CV>BncU@UK0fc;8RJY{pJv{U(W|#un4a?zS4ajsqobqlo3&^C)Tr z{RmtW7_#6vkG_*SM&MYu=9*qbjwZMTuPw%N7qCnZB|m$q+WzjPUWpSMH$e>5v44NO z6So6NQ_YTSj8q>D)@P))R-K(@_iA1D455x!4nkp}U(DjcP09tZOAFCiC9ZMuM;IG3 z3(=i8Wu|EIF3aSRMOvuncKqrKG`j|NAFDr&G!u?5a34;hX+U8P=WyXN7Vq6ojG4F{ zfR|S7s&|=pS*^pcBhdV?0EQr`dnU-U{-}bs;75OVBKcV zre8$y06SZ{tHPFBCFshNl8oeJ{Q}L@+Oabn2#m~#LCLVsl4F%>Z)Ty17_)c1Nhm#SB_b9iTzI(`@ zm@)peroNgkgR%ZFt`A6nNecLtRGo$gGhYf))2r zJqh}}HZiWEN(A@8ba%!{z7H#rP>MKe?c*9##Ay z)RHi2weE&33!^!oBDC$>*6#&~E9vT+1Hqq@ECbb9dU;~LgQ_E+D@AU6dFc3wDtrO!ksD?M2)b3nx!s*83C|F^-RIx!JLC?=oE5>$$qEEn2v*<Q@6w$6sCe= zZuSuH+3E|jQ`E7KlQ5Kc$hL%buo>0aw}E;I>6O@^P>WMQ5>|HFzAlAlJ2FCWd+n2;;B$kvD} zIM^V>1``Ucz&<O$I>NGa)@koN!CLU=dqA&E~$=9K*wibi+CobeX zFV`R*s?DDchlZ?4$tHQo{X;%ep*!iNr)RC?>90!&F~--?H_;QMr_yrfk=`*A)rEDKC;KJnz5>Q1NkZTH6hWfkLJmiq95kmd6thRsvFFf8y-3&iSUJC*!4~8 zA@owS;Q1k8K&of*nIu|3fIcgS@+ID|PROCm9sTZp86K5eN2Vn%T<@@@SdrhG)TpBk z$)|H|Fed-_oPZn4$=x>4?BLN}U1`*=lWa{?+==-(ja^ZL@kcK}PVgb?jEFADk%hvb zlh-t=nLQ>18Ii@09S2X8j%JqTF1eC|rO~UX(MMA}@!Ipz-?6{B%SYFtn1M^i zJ@R8JLVY9mt@a1CN6z0%!-p0W?f6w_qtc}m7x)Y(k&*KEP%wGF$%z*BTUz>XTPZ4) zOOZ%c7O$}6sqj(LyPI*1x(yw6p?+PZH-g%M@36yn&wM|x9k9@ zyX8+VrV?5%HF(Kdz8^zJ#nn-IOg7qhp77G-x-a@-PIkwQ{B*xn(({-)rM^j(h4Xpw zVSgGv4+*mq`j>vV1TmJe;$sE{eCiFQ{SmpgxVUsFOeNaE0jO@vPNww93+*v$uP6VK}=^>SRal=>I+2N zmntjNZ+gmG$B5jj_Nc5vo9hUM&7RIUxLFQ`~)lrfXIC5_qA1&PVUH$@wCYhXjAaXXKdB zNs}zukVA`=p8E~fCaPIvnt3t^!^Fk%oxUzk5Be4(j~&L7c{Whf!kKCGi*W zH@F-WOL|r;>OnaD$Txf4=a5HQ)!~B+23|lDCvTdbTdKLXG}et^PDR>B+F-8DN6-Z!LEjdSvlgX94Y+x@Q zG|KVLoa2Ga85jJrVEJOknQ}2PqUVY8Np5D4nt!qErKvly9p!QXB)TX9YXE|QHE~T* z{^l_tAqk3P;QF*D)$Br75U0mxAC#~@Zx8l*fVjg^*LtE(O% zEU_VJK(!yc8@sjXPvYf*HO(r8Lf@0zzy+5al4E8W2;5PnWsVmO9^)sD6ms!cWc*B> z+F!@yB=Wk%_o2v3mw$p%f32Ig!Nh^Qhdjw1ek`t^K2|Y^$B!<<;hbbhCeit7FwVP0 z1caojT_L)k_;~J-unw%GgfQeqFKerTAN6vBmR#7bAd{F;dUY{oV>-u~{T1e-^n%oX zbgTd*RA5sPX^12#~2u%{D~wyqPL+_3N|T z9=cbMA2^1O_zdg5x}RqEoEinKe7qmf}hMv7Q=**eY6US`*8psjbC zYjP!qcqfY>ah4|UDl4QeLpWAQ?kI~SDQM`M1pF%%nA6AuWHq6S@&~mOb9;vegk72| z10z{7B?Tj5iMaf+QH7yvhHfH4N-cwe2!@!3JAWtBV8^xFc_7Bin-VOMFZQNP*d&WB z;dGj2o%sR-3g)${@=5TMS)Y%S0=U__X^pBsw2futNng08{r46IjdM|4(3YCTa@MxKPK9;? zQnAIEvX^7C!|}YVti1fZjC^L4ZM=v{5TI;P=&>{d1r0)p=qp>k+UrM;f1&>XHp4$F zJ1X^uv(Cb8f0SpmmPZz0CnoY;OyaHr;g zTC<}0F`*-ZUcyF?C@^IN+F#?IR#sfxba=32EgGt{Qbx$%+i#68&~~Rc1YC~7RRB)!x$ud|v2hg921vOJSF`uVM=&CZSu{t&>;OzcEI z6p_elY3sGy(waW|6;Hruy)DK{6S;3N46pkMwCUsiK z+bZE??ej4Jx28k@1+xc|qV~ zx}f+}o=+PG88U?-`4MhX`98lI4F3Qr+h!{`N+ba$Zp&#KggnvGE40a|TW{ z*m{2r-EB_02RcT_$%8vc@v2CyrLR}3&^4&u$%h7y$Xi>7;`^M;7Tyoaz z^EJ6TPb0(Hu1$!ZW)#k*M&<&Q+MGN`<=1dKl; z8N3v!b{)*jw%++34zewd&pTm|ElxtKh~#)9jb_wsJZWX}zw*3Ip63f)iQ=i7pZ$#7 ze;eKBYwU|b@gqZo;0}6D>Z5` zwi!DO{{WO_$>VOp(}0nUMrFvi|@=*FxJ(_Ty;ED3uD*D%M|y9cHJ>f73Nv zy+$U|PG-0z^y=UMfkUrd9ubLgDWc|Z{oXsUN0aQ6CWo#KV^@T zqnRg!pqVeZ+HE#16dMfepB~U-$BpG#`I~))!&|%7M+J)Nk+Eiy(Xax{2RRR(8KL11Rzb%zx1*{{Zq$Igt$PkxjImuD26)2D7zDAY7;m7%}{3 zdy$Ldo-K)$f#mY(b(*ZbZVb3yP2)|IJc%qb1JF=oY)sj4=fuv}`5ez4R1#>sWpi;q z{C<NxeZ*xcZ3OmE>o>N^cmVR<&2BTv5a?9Ga)OZe_SmRxOPHhlY-G#if~-R(6R zU4C9}er`+;GRck^;8@rlc4kf>*lu#XlOAnupHrvG%$p`(8}aBerq<~^W_^#4YH;;) zVC*vS-gNPut#9zM8eF_>X1g=|?kI9HwprNPSYAVyBw|S%LyzMc*N;t?U9dJF#aPG4lfWX5#lk3x~FN4-asA5xxx(QZ@PZ#{(P?U{>Ei8Y99_N3chGfdc%)-hYQbMuuf9YuamlI{L*6s4}D`VvmNv_P<=jOxz0QB6e3u878 zMw7@fwgVt=ml3%`-o`T|P$Mfk77~UNe_`xe9y56H=jU*cO0T6qTFA2&tvOFmjL_%es{VCDY+ zS^SSN_j&rv%#C(VLz(iq{7b9Gk4KlMndXWO(&uI1Xmj>?d+nw?c-mcQj%-Xokxvcd zMzvb&l%*ur6D2f^DM6?Jb_iLaRgE3Xve72$mVM8cYOtor+IfE6wVR(A*Jf?J*E>62 z?9xa+^^1eE%Nctt-0Z&{@@cf0o>jHcZT0M?CSB&ya6ies+h5}QA2I&`V4rClgCwnz z;e@M2LkYZxcPB&l46+mcwP9*c;-pZFEr_O@_NKTPP&g`~-Oi{{WlT z-xnV*J3DKo&DFts)yS&?V#STIrOw>Jhmo#%^R)g_@+J(V&^8LhRziGP1l?y)(Ad;W z7_qtAWNa}t9!;O*JAB!4@+E%({{S0<9xp!e^xJrzgL|K+Z5NA4w$53ljl3IZipM4j zhb9>TV|nD}nR=C;O%|`m^=}W4Z*p<)Ja>16j~LqNqs{UUAkFeUuZHUN?lpc- zrrKs_Y_(dP9jgK#{Du5(x04Pa42Y zE>?e$Jl;#U#=^*tGc$QUzsD0vs^qwsYYceJc-&J)@y?9JB~DT5E+t}3H!doOtK7*n zim7pB{jR`Q`UYNH8jKyjp94|0^1QsczvTUzg@0+&BTqhqajo(_PCoZ>hmYg>qs`

%{h&#K;~!l%7xL-Z`j;CxEH3W0Yz4 z`5O51^-%~nTBg-xW9jl9IM75i-Xoc?#M48s8U87Oxr0-&*J11Pu<&zpvZBVw!hHDS zix=nDWa8xI}2G7YL*<$Fm`)Q*(?amHNcL6?28N4TH z$64cBoXr&Q&5tlsDe=r~3Clm*W|GHIKd&8ton=t>pV7m8FX(e<~r=?(^~detk}><{BIoNW>rv zb_6ITa-*?|W695aH47ka?A_xc$#YpuE=UxTDF#4f-0K%(uFHa0B~qUVYnmSNcd{+Di?#qwlgBaY(rCZ=mQ@e3n63hj8mW4N(Bu2u|F)Fu^ zW9Cef_Do!V9d8#g6CaOlAk}X$e9K+CUFMf#0hKErgl5@6xu{{Z1=JYFTu*k)=l6vrC;F29n_3=%|Cq^PA! zOB~_32qXzez!25T@sdEP+?4Qy_Jp z97-dZqNwI&qastXsrlNLbZQ2LVrJ#yon~f}eLS95s@ZO3k}vCR{BwKb8jM_gtnBD9 z#-}$vY_`^{nfaS?sL&jpd^*k<6OA6B5%A!$Or>iLuD9>X^%yBF?m1A5zCdmvqC{2$jQZ`z2m}Eflrl)D7!qo_y<=4$DSzGwv2@p2gPRfFr#6{f z6}3jdQ(DUj3z7Imx!2-sFt(Z;%}(Ks=&=o#g%s(NfizKgmy_mrPlZ}BS z%_OS~N4W9IpAq%u79`C3q%o{7E92|f<>|Gmu^3jTkB&w;tlrrAxjzX3BKA3enuPZ6OjH2v!JSlP zOU;de+F==`-1zIqD=P-_UqyV2$0d6ch>{!RW_vGS?> zm&Qhc#ztO5I=yYqE;x)ptZ0riHAKc7+w)wQg5<~fMhIHWkBpe|auJIp5*{wxBU_i2 zelLoD`eBw#oVg#AgsRPQHj>TaUPI-{<9f}Eu)I>+(0UKQD!*!4q5~(o#opIPDXYAC0{0zjS3f&1uPPu1n&>iKN`*=R=XoNYLLiHXK-^Y^>a` z6&g)`-^RbuydPWRdS4#i`DSy&34a0op0f; z#7NyC{w#XSTNoHRY$M}XjmjLjxkHOB(;_vz${HL{2$YqGB88U1xm|3m`I4Bi@l%S+ zVqWDY{GU4>9IRg{@q8=|ZlfnNJ6t=}s=}-(*n&HcwMjZ43p|dC#YGPFYHzV@i5@Iv zLI52POA%Us9@_0kjy_JZx4Ahm4P2A?7SdJKz-8NNzpNFFE*X+M9bQE11}dn{hm;!n z3INO&d~z>y!wlOh7bci~GOsA2H(O9Qa1CY?Q#fVJTZs^=K0hay9av`QW6rIfn=f8+ z;At`P6y)n|*?SDDlc4e4w&NsEfr*Qy#BGLJ9L+|aUj*9b>hZN98#^CeyN@4g(U0on z=Dw}*5)0n2@~6ef@xS)tiJPs_c{h@6{O|nve0j1SOo)HKuzVeMth9Q}Bv{IYc)x57 zYRX&V;hyIxR5-1vC`c3sGPzm2#od-DFVdhq8xt ztJ{{`$KsGaCewEL%ZZ=A+2`WLjOE)E1Q^&shPniUARq@SBG7Ay=BK`L+9+Dj3j<6( zJp5W=a0Tici91wrl@DOE3$kOY&%>Tf(UO82tnqM<`rrM1ju2tE@riMUAqg&aJ3*4d zwf;Ku4W7pw<3fmJ)ne--$MG*7%id-AKbB&6$lds6kIHd2`ZU+1+L-6g*5FA5njiB4 z=eu7a@!ulxe6(aK$XEEkmTmAnqj$E;+U%`!iLQ~CTZp3z4~dRo1Zoe-70Hc*MGfMb zPuT(ZbBO{>nE1-JD9SFORmI3EODeRx2`yON(f@z-56kd6=&%w}m!ubCHig;GD%6v!6A@Z;Ctn5Y5>$3KF-ZS7n zJ*?Di@Ns9u{{Tku?;FwY^qS3v>nd5bdcApWPprUfiQv_siv}w$GJs@{lyL<#fChxr zz^uc%W%hL;t0ZzrBpjdj01F1QNO(m7KNCm-vV2hC*~%#SAMK3_71&52$H!hWucWbiaiN-Sx*gFuSC78DI! zQL9jlnz#>vf?lA;COL3FD4`z+TRucwnHUgx{8>SlBN6#UkiDls8yK%GmAGr={HWN8 zke2@dg7#dO0I)X5Tg4&bK)kGi&Y`3+0bdaXQ}cXfX5RSj4s1K!K3sTO+!zd*dmU)c z$(w1Bm8R59?81h8_dkk@l7tnCu5s|sb1b{9x{!sm>LZCP>u03IvdG83Fw zQePRx)lH^vwTUPV_AGu6_q^!|Kh8%TUzDVO9KWEw}$Z=)oHe0AU%p-zaP}sXI zYYon$V%scClrln|O^n_VHXd#+H~HI%Hr`g5IDSg4(0!ZjMA!p*A8NI6uHuvy1wdRV z#G@kzjz$WB9t;4-n-Z!sG@A^lem{{QQGH7GErUh$KQ&YnO$Q;jzqd z-~8*xGd!?$QH(}PxhikmWSD|L+x>OjfpM=7|SlRALB`J%eE{fM!cRGk@AWW z{B|75U6+-j7fp&29==5r%%-#AW`aAFiG#`m>3&X`z90fS!zH}9nf?grKEg=ZYazRNW}6sz*NyoG&q0-njpUnV9~{@BX{ZXd zsD00RRV_&hpqa^!76$mgt!TAzJeB=2BZWn$OJHJtVg^|x5`jjDFKPe3yjySn1d`1 z!bq6kJ(y$mjSAkjEyZJuBr@5jE!f)R+%V@;O3^`$8zcnKQV+$#FEi7OoEa4|pz&?A zjIzcBJo)qE?Z3|F#n*U#PP18)wm2=dxvSHC)C<@eu)1SN)RC%*<1%D6C*ck{h=v(> zboffEBq!p>$A6v}I8z}i#K)4QZpxrKkswoM7eWZhU;5?*yothCM{LD6!9B<)tg0En zxn&}+YKAgoByo$qBrYb#D<)?X^YOHVagQ6h*bAn|k;gFcf^1VW`6hr2{{ZuE^?9Mm z+<&9!qss9--x}Ze_WuCe@ZmiV$kXuUDh@QQcLT z!HA-rxTZ8bso{)dOBe9q;>_YWN5_J^9DYjDnDSt;TUNzCpB!?g3IvJ+aiF&uBaHZt zS{c{2Qd=SuSqsW6Gi8k4GNjkWXme@$D8o z1iaZSP+~RUChV#B%XLJsMvY{eR3r=x#s#Aysz$r7WylFR=9Ua|t!COH1eYp$5M#77)di)nG9HqDGIkRQckQyy#~ zs~@ppQY|pC0=5zM*QhZ`6_;Tj<&KpkRuHcT#y5CGsIAGmB-82W+g2TbIUlBjCwRhMOlIzBa6UXPvR|)vFU* zWx{}}EL>_KTz?r>q2eRhe)w5IH~FVdP~n?2!2D=W5TWWz#g!WtT(VX0F=)uOAiJJTF?-3* z^`6}G3~IG6?1+9YqS5}>{m8$R@vi|I)~*vaG}t5LlL#2{uvue+B6#9WW~U*a9u#aW z0cbJou|h(B%JwQ3jNl4@a!ra-EvTDF-Na37kKGJ^wk5I8UO6xx`xwu|tdN->*&!T!Tzhn#TYnqVJIzEYOCZDBf&hvTd15Q^WXqu864FLPWkl zu>Szs!Im~kK;^OUb*)YaSc61x|cqEHl)NB{={(F@eTa9FPvo&q(kiOHnIwNHc$O{!uWQo*owXXJ|s zVxbMHIENCJWLvONY@>08wp`V?NQFxP=6=$s89@Fj010dC;Jf0+O5~tu^~zRYJ4y%4 zS!^D`*fVyvG<>pHcEv6Ivcutp{{Wfiu!Jd&*P3q5o#hrY>lQOe1?5maMRjFiYz_)qq@1~RvMTOHI$hw$WUnwb@C zMAQPvY!w!vl(5wyb5579`HT}YEtXc|u!@upIaW*!I-mU4ACm!gjY^16Q(+;fvDF*n zELZ@si=*1;Kp-A6D5yVXQi2ku?6GlW&K8m*V)hSv48R&3RwT(EWD6ctZW$(9QKw)c zOWXbsAgS1t$A~G&V5x$)g|5@b_Jorx&ROBTOD6==AYE8%GqvfiYs`Ff?zI`vyfNc$ zwiqpoi@w{8O>`KMVdIlCM#7@SCP51_V_~A1U{KJZ3GuJYsrbSBtO?CkwkxR)F0I;3 zWBAS3Wu7jCQ^{pAU7bl{NU1;UibByiH7K*E*{yp8k{7!2s8NPMMgfh=t#@L3FAs|k zT%0k=n~sq!<#0mfHNXO<{kwMKr@@bo8z}h_Dq&WoORpOnc6Bz1Y?A)?mWcr*`(ul) zQj16T$^QVW8@zeI{CMri%*0N;)?_UPCByOja}bP-PU9?bPC~MKg#b|}mO7HM-HHzR zfrMD=!ZcE5kF`N?PuSUF+c8aE940I%?p@c4pygZui_JV*dGa-WA&ZAl;;~=r05GDw zj|$r#6`90Jkti1}V;}u-kTQ8M3}!rmxz7E#ZE8YF{sz+9Tk{AGcO5BHdm_|J9ZDIh=EW5zsh<(rL}e)W*Nk~IpIYt^hV0lc*; za+N}@F&FY~0DASCngr;m}_+M?8{TA-mX+Ff=irHcgrdaG<$a!0}L_Ty>SQwLDm2I9XvTxERLojzwX{x0QH{{!=#-jNry( z11KpmL4Hvwws1DbQ&AtsJG9u3aO058PH^J(3*NF62Q)`C$&9Qz*9BZyXMOPv(S_?Q z05Djvy<-d3MnL1PP$CLSqJk;=)P2DeqqF|l2NYv^V8(P-sTR-VjeJPI7351HJf4sa zPTzv4wS{pM4TFJk_fd6`MPvRoAiAP2CqeLH-;~SAQEcG{6a$Q?N*KtK7=9Ts@~jXm zWp9Ov1$&7P;Rvvou*W6mjCX-S$a+#jtcTU2mt~D z20sA)))n&F{Q*xUuWe5LzfxE0ihoGe^IG)N!;knDdq^quEq|lf{;g_L>RHmCQbw`n zRq!fP{t%rf>PYj7`F#HXd$0f600;pC0tP<-{*x$6bSpxiP*AR(rAicJTuSGaktoLcKPQW7bKI2ZL{~^&4GD=LnTv>TA|Dn?enk^E5|$R3WtGMaWBiVM ztta9o^hMRQm0u&4Vw?{iM?J{3l_+}nl1tNzIiiofmf*(TcYpF^+*on#ue6J)X^n+mNLMcAZZY`R`5E1G{{Ryk zZRW*o^t8&)af(MaQFMBdaY~Y8w2MK|lIk^6T|6dlNJ$r&zhoq}uzuuGq%fT1qmz?0#6? z+vyDB_Bj;sAg{QEL`0aTbKK(P72mesD~MbzB%itd)+h0bNxi`ci;fS8>(>nY+EAvm zZ}^m@-OXJ|dw$-JLJ>MiB^eLGkGU+NU5+o2n^_xFm)c7r)srge{B)p`q>0XP~IMEf-QC<8Aw68>`20teKzBX3l^2utNP>3O|D#%AI$hU5a)2^G6$VVEO+^S_J zH*NcjojWk~~fL@mmY$z3iDimr)9A0nvV z#;9R^F6@=ag1X5p^X2?`C4R_Hj&~~YTky0l7bmF zko1x#TIm+-nqapiGUjG2lghrWNr3h+- zB1wHMy@*0fylI}AOCjz;+^>%$J;=9mZy|T}(xlpDcST7>XhPOVMks~fdX@VSWK^Q5 z9zWohZ~g@C_jST5jI7wjGDt>O zZ{#pf*i)?BO}luN@@VSCY)d5=Tdr3xB!U~#3X$MKChdwZEQDmrlw|3Z-b*BqdXUO> z(65%kLKz9pvi+nlCfJf^z?+C(T`0!%O|GQ9JrN6UFQGSijZaM>Y$Uv@Iw*1|yw0eS z7nQbGNJW04hDs{`0I>)E+5iXv0RaX-0PMGBn%2B~0O!uMs{_WFk198-P@&sy%Ym(_ z?cYFmP@s7V(AOW(Bble&rnKh#>slJn_)rI(9{RUBw>s9Z&$Eqjt~GPndQ=<*06V({ zs@11y>=XrjE5I6aL@BW~8Xr*=1Y_orLHKe1016aoO=(2<(LLgm<3Lx@MSHF(SGu=- zVMx>ug%$1;TgQc3gN_2P&$DSXdESch`WCMqz-V8A;ZFRQN-?k18m5k!JcRme3Pn`Zl9(%TS}O4t<(dwQK2C{au%$dn6$8pu&$~y%EZ; zO}uMv^*#WL(XpZ^jzXCDns1FM=iflzuo^ULP8Dlb)55gpM*3ErmcPQTYQWX`(P77x z0CY3}z z6anR1PX^MqkCf@~p+!v)JvDJk<3QE9P3qRr@}mpf-%x%u%G?O!`>Sct-o$^62Oe!N zSGJok`)%8Lx9y{92fMbzonEioe#=&suVk0!dS4NG)0Y}L(KJ|)JISdd#UT+i{6X~Y z?(qI94U-xchy#&4M6S|!TTeAEbaRPu$P5-wD_OW(zLjSl%bgDHAFi((sZ-2R0N+|~ zjRJXAoah=;-}*P^C|`|k;ac_&k7FKHW5TQ};Z~vJSF1SGDL+Z8eD1+O@ik$=bOM0o zR=ohcNfa-@e)=C8X73dl?@)hb1J3o7=bMEd8%Gb@T6gw}2Cel?=pOoH?yGmwH-3~G zze*#zo9xp^^|cf)#ZApA@U7k5g#B9m-Iu7o;Dmjw)@8a0G5n$QdweID%{FxlI5X3gIPqYa- zeX~Mbhw`qGM81R`1HbK1f*Z7r$ZJ7HPqmeMvTnNV9L))Gtt>%4kC%~q2btXs1zdPO%xmvY$=o*S{KcJP9XG>WRC>g=@}=o+9)|eQg|#sIPdfr+_E3X&0@#ZEkg_ z<6CcSc+#8Ri0>Anr-v%NuR>+?w;u|y;y7Bh`b88c?&Q^f-B-7#M*jc`QVrF#HOEhP zef%w2p4;|Yw)8(tEe{Ic-AIFrxE-&L5fWsDCrwpI7qL7U{>S`O47;Vsn3ta(Q|C>b z4dXjPeVUJaYT1%F7ME~OWDPbHzT@$!VCk;8IbeHYb^($l8e4D|cJTDkl4mkZ=ItYD zNw36Y9f)*{b7S12of+{RVqz`n2g-gmexr)UK!gQ}tghr(5>Bc+=$R(AEGEHkeY^Pq z&XX9z>+B}T=1n({1<7vv(#4ID_@vwCSK^oXcM4UV8U|npEHpRqtA+<&Alz1ls&3jipBbsCR_Jcs*-Pk^o*DVx8IZsp3F z{5e+8Zo^wqYBw4Vb;m}j=@_5T1GJgj?dT5_&5LHUV4s<(gJT8Q%o z$LXg~Y*|n2r5E`@uX0yd(Rh|N6wA|M{8NOx%EU(!fu`nXBb`kqWOL@_BdCX_;XF{2 z69f0k(jBs{?;^+<3Cr`^{5Ee=YUa2`3vvou)KI+=swhk11KFEGip?Jk$t&3jtLp@w4~O!5F-Vm@Gb=y?AC zEqgB8C0;SMj1R!q9v##w_SUx5xllZ-_g0(_3U%jTC;`Wv18o1pzmx?<{pPq+wYgFe>f>1_n*yN!01>zN&_TgQ-_@mQ z-`U5pz7z+AMaUi36i;yk*5EZ3BADgOv5R?7>c;)RYfxch7Oi_)zSlp6B<{tTREXhQZenx>=gO=0+xcn*&SUuD+I2Vm<^`=XQ{`j>V4Se)G<RNPRv1Hje!RSxG}Prx?s@T;05$FZ%(k18U*6r_C>ByTvH zdssymn1kX*f}9jgNFx`>Sma4EB13Dms2Ir}-P-wA?N&}iFqnoW*|0O^$d4s+9b{dI z(10B`ublj;w`NU;Xw8) z-pbnPR|dDPr7FjdIxES%)wz9ZsQ&a(XpZ}^wSPJfEt?0gQs6BBN1;RL<5~p+eS1JI-gg_pK79p zSWa=v11fwxuuSnvzEQtn=00EiG=pRf*1hD4OiZSDLSv`_3`(Ny^>+NfYNy5N?AiHX z+9()?gBJd+&1mAMW84ggl7^?X_0A@x-0`i_*+Wa6{I8*O~J!PpzP-%I=`1e9NzlVkdg zajn9w&f3iJ*ksioYv%fIgZ6ugxL9@1|28`A0MUDSuD;-^l@>T1~eeO63xlS>&}$e!UUrR6G!_uIS6?zY|44^>Po z+!>+BY-5GgJ&`MhiN5~;9pbqAt{a&=l5E(|P{i4JSuF(blAS|7MQnu*p?#Hf3uuM6 z>V1D!nt3ur@&&Ye&kJ$`jju~HxGXgGi2J*DSbqABeu=s~%y`G+@F#8aG5-Lo831qG zPSlPy^7^D@p=VMLE(b&1MGb_PJ3zlK`_W8D41oseWhZ26E&*m^rkCYLaqZ;9pqUyw zf}k4`RN1!us`qi;*YzRvugYNQGe9)dGj%)RWHN8uy@wX}=xp1N5Ob4wsPokFB z*4Oyety?%P=r=wcml|B$)~2@s=3PPOYO&%!T{5t^IuYSb8Pj1l@drxcf1$JJ-}~qR z+IvYl)%$g;SB)s|JUO@r$-r_wD5~A~uQ7 z+1OpkU>o*sOjq_KMhMAlV|M<1E2-!;C?7^G8?(fXa_n>XBiNgyyco7{F6 zRBQRSdg?qbf(%gO!nmiY8=Q$_OX(Z8omXG#x85@SMXic&&cj+c-9}c68s%V(05VML z@*w{J#Qv3_uD-@Bf6&BDResYG>wmOsjb8kCc5$NaMTM)6myn^`ptoYER(En05k=XU z@KpnutcWMa67}gK4Iy*)SaBOLP(ky~{2S`i#ULvp8x=fmD^eG?C9bXYVYtbDUPr&*+b_-pXc|zusHyjxGF~>1F_~?FzRLz}Sien~E(>CAB;#UynM! zVAF*Fyf~WiqQ{7&?`|IowO*f17Q(DKai9*D(t$kqPy>h*SesVfOleKQNU`>T&vU)c z>{`~WITK0%zPgI{GbczB)HfRw{42!NarD?hk;qu3$+D>|KnCAeU0IE1;z`vc&FVcV zT27&jCN$7OsS|~96piF}|ClQ5XZH^-OG)7`Ue` zbJBPj7)dEA14a`2H*Wjg{!Og4E*2yogTlz2GG`KGOObgaQjw~eN!Y5a-k&_5J2erG zyPRpcv;y87Yjmt%xE=%GC>^{-!SJgv){<;#S~;UPNfg+R`*%=_sm$0tVTFv*s+7vG z(Lgo{F|bwK(Zd@3YCS)$Vc#jiTz_&)LI?+4q}*GvwCRHS})d<5#Qp z->|5m`WB@Z<63ZCXp(dsY6+mc&}B$qhFH&+-TJQjh{xJ*JKIT1l;hB@U`CLeNF-Q;8CSM;T0^?s=$^h~ic zBMzS_pWc9vLWVlYyX|{>e9yLy9QljWP!Kw94pqc?3^@Cf=&vu;UrPLoMl6hWh0zPi zb-k5%up>ub75-`gZm8s1-nJ)&?fa@(Vw)Xvuu*ZMz?#s!k+0PnF8$0G>pdKN*k2PM zXk(BN55V?txH=KxTTpqAE<@u^%;1&2+i*7SGzZJ|d0*>QudQ0RR|@6&>wzptRZuQV z6JP-5YCMS-f96S3c#gr-r$EC;VZh`+%D*iP9A+PkVoZ_}8I-=Iq-}RfYJA?J&5ej6 z-;b2+0=pB$k5OGTKAM*w9#ak`I+?Sx3^C3(^wWg(T9bu_lohP&n3GsL>=?hloWQ{{YQG+MnM{xf5=2!WhQsKUd5A z)V((fXu48LtVHtONn2>X$iU+({D?hN{d1-!c2aa{V{WS#T8HrBd%p+`{MI9Exc>mu zUtMQoCVpR388((Ux`D@z92ld0?I4wQg(|1n-S<)Ze<{;mH-Aw!;)-R)ymd8#;`*Aki)k?;iE1QPyU;I;{9J$VrISaP=?y5fulhlrXdjWh=S{|Qf5mT zn>6_%XCh2*ZsoR!&vu$Oo9$OfpE60ZdR7sYG4bYi_SI!V4xonGemV22w)~2CnAha2C?v0Yibfy{h_y-O9XsL2ymYl}4qHAOPc0WaP%O zeq)_O<6|(~m=QM#r4}piGXDTdKjx;w$jLX6jM)I6yq)-jd9~Wox0#yzcKUuwho<#j zn;tasPNF$6LD)e)Rdg4!{cU{z05wI^aiL6X2}v^KGwqByZ)kK@yFszcpgb8bsO86mnwAK02PE5S47I+)89BoxB;= ztqd5C)eMdJsJff9L?_AZ5>-)1zqsDIT;rDcEh;N?ex77zg-x}{b!%^qCc5J8U%dXV z+HAarGEF7i$l8)g)ZT*ruE{&L58pzsKvy2mgrm2gkmPjxrL}duS@$=y(fI z9p-@Xy)YwA6hH@35K*@-`YhTN7blP%$yZu=AQgmz`u=MVsh+a`RY={wpqa;!= zyTrdM?ezZu#Y>Th@j;fVR(vO4xG_e=mMU(ah7R8ABN?)1nxx3-kw|gl8}d4P-KT{J zEF??$q>EtpWh5uDzhf;2Rt$_TtThByhqH}$_*9r#DssA9qBXX%dp%6jo)UW%+1SrGa@b`#)R!jj4lVX5!cdD zuJZbRrs~MaRa}35Mv<|F+BMy%SlA2LRJ}qd)iGm?%JbwpN4g=+5s2K4^6Tc>)w>DV zotQfY8_|fd1($~<@{i{Fw8_>W_PU0|Tzs9^F|r$m_f3dxJyLo%%`3L;iAcRdHT=cX zm&%SU9&~7{b=u>PkSX(S_WuAA>G$NLFF?)wd`cL})3Qgkl$$TOg*q^@m+}_ctrB^q zeYDU{uZx!(6?kSFSTdUtuW{0~*T1k|hdXhm3ftEHRyqH`&5Wa2fPoX3AJKc7z99bS*&X_?NolT;D!OfD3Xw^c1?}ZzS zvhLj{t-h^F`PrB+)l8u<+oJD6U?a{a3AItTZT9y0+vZxDS%7KG4!JQwks0b3>h`>$ zZp@Mon|GUQdXm4?x~t^%ELbua;vS*2Jn{km0LG5R-SN@B@_8h0SKY@_XJ#>ySxxW2 zcq)!cqd+JddFsZ6N&F-C&;pGcn$wpqz_-+kpM`umQC@AOD)K>LM4GtNQ5-d-QhU$P zD4qj_SPKEhjJXc6LY`)pLKF|zPQ5lJ%$J9}_eh89^EEf)G7q+Yu@{mne+c9J)%&Qi zASmKVu%*A4kuH@&PaC7@y)Sek$C;tC+`Q?Z#84|{BDqE zWnJP~545=Qa3VHQc7?mVv|`DPPc@Y^2s@3quiBxYBTIrV2&p>vT#@>ZSxJ4Uuw0-F zp=}!lwYEk)HrL_$Yk#J|s~Uoq_k4oZ)9%nQlnY%AO`FhV zTz7-d)H-bYBy?k^yAm+j<~l_bEB2b2S!QXbb}b{w#K=wld+XT2c#*^5L`to3;bW(T zE1@dD?p6lG9`HpbKU4C*r82%#{{Xl-AGJ&`aCH{e_u*;>^@?k0Z!n-qzl8x-UIw#>tr#i6U2DI91@{bM`BxRO^YizE|$UMH(7GHs(2^XZ~1F%YWW#5 zw!B%GnvX9f5aT|K=-1faRlENHlXB3x+)tzdsWlHy!$FasklSH^unHLK<|j+knB86( zaWfR%;+1^uehik;)9ojggt53~Z%GG_g)E9n$foL6;e&WE^qPb5*^dJX6@BR7zSyL2sGe_Es)jy(KjCDN1c)BZ zs7dmUhQGS_Hq9J^!e$IEA5P2g?~w4ZW%_qLN}jx{Y|ask!oprrZ`@x zxbF`|#oEJuOvOUXW%7iN7$kc)w)pnEuKS;DNrTlfzt=i$C<*xZhqIU!6gKGI#7Sfx zRdM%!iT8f;R;NFga)(ucFS`m+?c}E0?y@o6VX{}^+aZtKn`Z5_VwGDTAZXCa3bpw2 zw=HVc*SeIIVqZwrK`iHmlnyl(PDCq_`Hoasu(1uEJUCy3&IhVy{@VQBU5)CFj4(3h zby>)jnpWJ`Z|=!!ZeRLpHtqR+uR2kZM=vCvW{~kW{{VGGjf)FpWzPQGP$0WI9V`d! zrs;SYc&R>d{6A9bGtV3;G>S&-EKS50iZ;@DJu<)9qtyC?^)<^xXlCf1;(h_{n*tl3 zECBh{Rz3d!Rq7t2)iYlL9G7E_?85VH5w_NMa6n5i&<)S?RQ+SA;bKC#DZ4YSpp)E? zWF^AE9mGwMl8x%yi31?!!bHc+fmAsUI2&DWv+qFY2p~CX{$e9R{O$ z^B;ooG??8762~FLX`PY?j1p~fJt{n0)Ufqwq|25!C?+A6BFX_}j4%Vsdb!h;0+EbJ z*s7$tH&DfaBWU8<3VeL1jB=s3dY*n(8Zf1F+wR2M;xZ_8+&FGSqc4SY_-5$PM0$fs z5Xoj{_N0cvrHB#fljZYl`!o@?L8x7Jf^{sTfc{z_>l0|lP(GR>2%jabX9y0!KGxnf z1ie`jCY-Uxg5%v-*Z!hrFSxup<}||MMh4nMxFe08d1uN5xt-ZRALrZ z142Y+%}vz0gEWz$c>e$Zioy4&)7&}`zcqB@)NrE6>6wr1Bp_{(9YEGLT}8cHsT_OJ zMLUv{8<$yaVEdNdKS68)-KLqiWxlIs>wA#w5wi~BM)mNk+X)DM0!vm#ch zAf7gZCKlT?5)H16+*JOCwGLD+W66x(IaJxw!roS>VV%Fz`ou_M!-gbC;K|-Rs<->N zU7OD=k~f-!IgqwKK?M752)G8st@y1sQqRduUY3M`AZ6b2D*^4;`fqy4!Th}O!JUD) zOc|eJTKfX49mSY>qzCzE;pM<#nK-Lz2>Qx?VOHfqZSP}^J+gIC_D?V2p*A~-6!H4Q z!G|gU>N}~FodG0JVb~-CRpan9Infrb8iQ~u_W3t|hiC$Hi5a`<3-}tS-cu?F9%lYlMB_dQje`D5^y9cMZj_u_tG#c2_vH{!&4oqanMw*6{3 zdD#+WWH1ZwOy%2Z=oaUI9n^iJYx?eNwjK2o%CfJ#H^8h42akZ23lB78=ox5xQ4QRf zlH?zSxYUsXWydonMA6uziQ>M=7DInJU()L&e`30Py-OZwIxIkmI+=SRsnJxFTA9Er@ zpxoaJeH(pW+uD_hoz^3E>9aC^8U@FZC4^oVPW?n1+Rrb-pxOOhjH=2BmhktERDRI9sVJB5r zJ4aBA?aN!aR**WMzfm(yB%8eRdkDVjML=P7DYH5>(eL*qiG;8hYsVCp{-mDRSCiZO zt6ti`xO12D{D8Gb;0zhe4ll^7T1b;7`9b}D`nG#RN^*oj`NV45s*|d2W zk#au6f9=U1l_jU1cQQ)NxukDZ{7;9*mNZ6rBw!&9WH4Vi$C;P-J5){{WX@mE?Y*i=Gx_ zhB$4zDOOawBLlKM$g(`XUo}UGh|+(i-Nv^sObw#(`ZEkgxHg z4->+yPYo+hHWhk494R9sPg8sc0ZN_v{Q{a&e5Cn414=q-D}}9Bt+Z++?gZPN_)~r_ zBnMpt3FdNs1BcUC_?;&Q@Iy0q;j(fpp6!M9tGAU{jsm6XnfY;Mb)JpVMMYPLtGWzNZyCPYw((y?u-IVj~> znE6mgHe9h^rx_P`SB&m$E;x=~HDf12%WkFDG3GL6%eUIc+*gSoHs3%`t5{QF<4Kp* zaw0#7$ALDe${OyuHjF2EK6LqM;K_}mnGV?%g{()7?0=SrMC#1T)590T%L4C*GkoB4 zh5rD$wA~}BsSk1NNf0iwMtDgby+~^{=ISs0Ms1IV6sF*H2VdJ$;bI7I#Ov+*(l`X} z(?WUH*|QfaEQcZqM1n~e@w1&=?H@Bx_gBx%+-pkAw-(a;Y1c6#T=sMwI$41+sj<4A zRK0Ms`|)QO8yPi~k$loQLwll+8h)YEvdNq{ZkWMHc8H5exl#IdHw6vns4=F*RTwN0 zu>rYJBLdPbyv-i&XUvOP9T%ovd_1H`;-3595+VY@l;7@@d3OBNAZvg^VliY!P1n?{ z2h5B-uD@x2Ku3F^JBFIv_|cuBV*(JK;T~H*3wP_!<*bZ<%y^RLXJf~=rFBTyB+5wI zt2;OKBZvX9eyw|Ieau&F)8k)gW-GUPw-k|ow*LSvbi9mgnBeO@G{`YCap3mz85nI5 zWPfX7gXWXW&ih_Hm5mNmyW+%BxarAvoyx8X+-OV!(TYZm0(L_6(@oqwgRi6?_x_pF)a%CGttfJ&4^)?kO zn0T{fqzYu6;fn7>jpo2}P7O(!5|k1W3O5i`^P)Sr z(#s1N=8W!17e-Uw4F}62O8_?7$#5;ZXQz97%mO{(or|EJ4*qQ1sj~i;cTn(ys1g~C;tHS2=CJlLq9rpV!24tBL4C0x&@l()iAmbi`Wxk;h-I0wq6?4&K(g(S6%sBX3S`If z*IR&6(#4YA^Z?pr zVlN79+RFD_w|P^2P1Q3|adD*DnD{Xwpb^Fb!lWqTs0aQwrOCx(8T7KL z(UAI)uW-u4M%*e)>7&|m8+JAx;?@I&$=BOXR9Zv2`nH-I@&IzzLH_`nv2mq;XY+4R zQn8Jpk4%b0Ebada6RGrU-is2xVCrEciy}E6Ya_8mUwpNg z!s{Zx%UCJZ=C@0RKp!3iNFzwuuOLX_3Za4Yhsj1qC8T0moa#wBl24Cp&C^&WPBMujk%<

X*wa0q>p-~v5GW9rB8yZDhi6eiK zWHTVA}?un^Vf!>_EsK{Q^rt{=y${52J*=>)l2sQmuB<`Q;CBz0)Ux%Nq?f41 zk*+olB!}?U+AL&{8(3S<5>QNNROCpZBgcSjjS?}S0Qyx&7Bvn=QAfnc{+?7Pd|QTv z3lE%7BZ1T&%bp{F?xxJi&&282YcJvBn>Jr*WSeg9Z7dt*=6tn<)B1K_PU)E#*Bwh6 zsN<~g<|CcUGUO<7N49OX586t}o1o+5WOVpknC6Kb?dQjcvGn}gX>mG*%Y$gL4uqZ? zTz}@T3sr?$i1}Yp+0N*1r*(0w^6bgT>Cr6t(MHb%E=X2jFJo$K+}wtnDXtrSmS3qD z;EVP}djJ%>ZD{RcPmMv=KQmfsSO>-FXl{b~t4!Sg0QSf8RQL#k-+=_UXsQ6;7{0{= zfGc&bqlJw;kwcoE+=`A+I;p5@rp2iO$@K88b zI+*_DB)PZdCf3)^k71 zlAyr+!2|wd{{Z~XdES1F)nEZKF>)mvb!B2=Vh7%1dL{Lz)U1w!(|V?C-Oj}xZFBnk5U0(716gxibjanlQ#q<7_Fpn`2f)IGM(5*uh_BMD|!?GZs+{R$ub( zed{c1_ujXr&+6F;jfrOVgp2`{fKJHx_i>}te=-=da{9DuKSb#m#0A5E3nM;A`_C_y zNu7MMH{CDUStK`fyPzV)o%JqPm)KAEt8QKK!h*z)GPxSv&&zL=dTSzS=6;=%EJ6BE zalXjMcev!8(tCr-Hh)cMuT{bVHXucor`*PEywQO2U+vep9D3<;}Rlw4C&)P-`ao5Lf`wXUxJgN z-}1z(B)|RWGTKMkw8{9nDv#e*=T@Kx0ZO2GMx@c# zj+AH<&X2;e;>UJK=91Yiro@X}E&Hk|@Ze~_G-G5hw~}trv_|EdZw=NvYknka(<3nm zW&AlR@~Cb1k@%CkkB+DG6iB~xujXAqWd~cZ)Nb&o8>d7RKuBCb3R*%u*HPv-9Q|y* zUCUC-gz@4GM7LGy60i`42Fj_o`m+!2mHE7j>8;%}5cNFXKk6C6(Xam09R4p&B!CHI zCEmaRxbd(W3cDK7zJe>uYS0UJ-mNCL8Ytpx7973N!UWFKWx_^E6U*EG(0W zCKx~^c)0tc;3?qqJ~=mnVl-jhe-6lSGVo&GDJr@5^Qq#eUUk#G_gOj!pWe)qUwdol z!1tp1t2-|WSmBB}KguvR6Q^)q@%5`idOB@xMwFDa% zajLZN%*n84Q(J~f++UYA=JyqRk6ArWsZ4OXe@u!DXtDZ!KfG2m zaTrL$4Y5aWGqZZDr{Nx@mkt9v=X7qf(@RADbGAjA5?1Vtz5Ys!(iNq1!If{o5sAVCWrsyVS)!-Vf!TFQZP-oy|WG73K;W63B1?{$LDD8k~8hSzNz6+kIZI&^w){*F^E|V!F+(R;HIL< zjmSu3x|X%fWZKQkcHIqRKH1{;VE3Ti;svnCN;?F z5VC)z^ydrh$* z^u*h}HHkdX{vG;sv&AN8kePkgCH<4Yh(a5b^Q51_<)~)rD<%Z+J+XR@Ez~QGEg~=8 z!ma-R@%=u(YQNWd?lXR4$ij*!W130*_#sH#m6W_?Ryd_?zUP1>{N^Scc;My6bw}5g>tzJPxTfvV3FzM z`I?$(j_DX}C9FU}%G`xR`H!nCb5ED|pO^YfmMY|V4sJ>x{*@dLYCrEu_A3W3qvG_Q zu^wQKZ_HD5M~Gz{y~Ivud0ieHbWi>>V)=G`s?Edvw6b*!HI>^BZP*yogUDNI824Qg zSK7@<(K?29cjb)yIb_L6E1jI0T5*A54*zK|@FC<$kEX-~4er3(WiZPXtfhUDF zZG6d5e5sd~I4`Os`)ywAmp8dv>Q9L$i8NWofZ;{0q1K86<`iygc;j1buPWX9Dn}nO zf%|)Y73MxR@S)`-@)SQ+5r0mU7nM(r-l8uN?s2FeO*Ci7>TPm6j|1aJ!}rlYtMo2K z>HWDLKXG2EoH7i}p9Pu;?r!w~cf_?>$!cpfx*cx5_`xg;NO0l;7UYuw0{rjzTrx%xZ1 zN-(;&8VH!5>K!n!6UP39Hn1dd^3?c!MhPbCnL#4y(+1d(TT$)u`e>Z+WhB^TUvMON z@~oCS@pI~e@Lf%VR`F{Nn)X$-S8a40iL?Iz(A zO5fanma;SOV#|}G9-(%x6B9)r?WVGb)~Wj04qom*lR z21~uR#|(De{8aO__@Q#)XnJp0i)L;#Q-%yl8jF?koy)mBRf(H6W+Q%JW|cDL>7Xnx zj%~2Ywh@^LzUABIq!LPJl^0}s1yx=)RZta08KrAVMv6!7p{Ffs-HqTcUIu_7)q82~ zZ~AuI_tM0s#f{I=S{fSK`U;y9PsGRfxQuyBqe1X*NvDOuMU;`W=vBe7Q}8}o4Ih#~ zbYZ1DlChP645yg4g)5CWTXOQhkHWL3$?6iPTr#3!bnu^a(eWX#?0x_&x;mBUhF+ONYqMlfFKm!11Gls z0Q|I>S_kx}ovK-KUFOJ@%TFVa7_ileV{^0q%RtD7+hO-Hfo;}4k$+0_YySW}TmdWC zl2YXr2NDSM#y)91Px4f8WyaYs#d8eMH{r5l+-}OUp!>*N@rq#U^(ke{8&)MT<6@&R ziX`L``m?tek-YBJlZ_s-?nzNwi53S-@!?Zr!d^9)f0&EXG&%_q_G@y}ZGJv`Cr8Id z6Rf^vn%b2L-y+)YxA<#6FQQ^WhaA~P4xQ8CxOptw10di`jYp^T%vOeAFR_R&7rY;u zuM=(@`^80rixHnUAnb-A$e)<^T81tMQ2bPw@y3}ms@gY{FWwD@)T-WJaC)gfFm>!< zDmz=FbesPG^Q2$yzs#6%{M)~(-b#F~ht;rge=*BEt<_&R!y9Bpark6{S=Hf8SP&T7 z8Fn`BH=42WdQ?znXGx6+&P)X(5%X1Vl=!=?vIO-r`C{F=vbtyH9DInne^YqM^$aJD zQSV}g0>xX}oi@GR26bg`J#>7J^F2lIo_Tr*>S0z~hnRw8F#_J!4l@hG8#mrd_G(P* z*&1o`9LY4#sdhX`@cOhAjTVAj?c?D6L)6I#ub(-~g@1p0=;s zUE0>XZCkliaiG5KSe^h1;04J#X-sc&z1{VveSSIxvyba2@cI7$-$W5+97p>z-&)YO z9?hq|;P}x;Hk;&=>v$7Qk2pGTJ;s$}JVn*H5kl-Wt~`HjYJ@L+4W{?(CWN@tG%|t@ zl#mG=FIf58Qa+=LB0bmu>Qc-;D9u@L2_wcLWqI2nZyRlG7CYzwH2oGFAvaUXV)1op zjiN}~Pd_I5yDO;lp(x1!rbbMNZS5oI9x^^x9#&75pu~l}%$$N%8b;76g3Qdvf~taR z6RQ3rA3vwY?HlFcvd$oqL@18Peq<+l-_=bgRO>Kxj;JysJtHR;Gaf?6bMAYkLf-yg zZGB4Bfra^kT%W@4W(hUo+;*LyF#rPXwKx2=mDKS%MExFqoa+Ww4r6=x*%9Pj9TAPU zeYE<%YJQ)Cfffcl*#jzluF@BgRH}iy(4ZP+kI26Y4BFp|9yAq44j&2x@vC+b-=U(M zHj`I6p8mq;f{QQsnlJegk@}SPbFQftZ%zDmW^4-v22(YJ4y|(5?<1W6iezUO0YM~z$3fjo z7;=E0x^Jr7K_5*vZ&8rTm+hodNO+%YSXX@r;|FNs-P9w-bM7w}?zLm}MGqz?wGqts z7R6cgSB;0=&l5MyjqWGTlx30Rnth>t$(Xqcx+o-))*mX0+cuIh3>IG~+y4MoLOw+Q z0GIfxZl{av<#envRr2!{kYrlGx<V8IB zgZxw?>MC5X^yf_+9~*x9NVB4C`$NMrkYp%$GCFxBU*I*L(PZ}Lig<)jX1WEMBtEqp zKn%>fQT*MSVwF02H{h{hbuvKOhnFCHt{2gNMM;yEkDS?)eiNez&6py#)K&V)^D#Bj z6QSkL)OyZ)Y?BT&-N1R+0jdRKZ~3b+x_nJDIC#3pUQaS6-(EZ|^$_VitMhp)r%%?g z=1!WxO27sT;14dIqY*#UMfoc$GZU@j;bhG)Y+kdErX;vwHphk}+UE(NZ|%scvVCgk zm}4s+t$uNpUOuZX08@`JEqDVug;vOoba}$Bm(^JfpN|(-=@a8N4^)$;WGsd_r7FdD zrP>)0&}!@L$?2}4kdbE3(DHL2mD4cC8Og}U1jmhu99JA${MJYPKP5?$66YDcJH7RU+o&XCjS5$umiJc=R*9oy$88h zQDDk!Y!9 zK;CMLt91PAZm}OrnPtq13#*_6sWxsQN%ehd>T8+S3$-#?AMKX zxO1k~?CrRp+$&`^CQL$DWYv`Nw*n8!!;!3rVvXd$Z!~jTfcsYFC~HQI*Ojl#UYZuW zEv}Bf94q}x7C7a7jRT2Sb&^dB4;3`>LFZp`;efWJ?grj8BvIv%2Dgto1%}wi8uoF8 zfEL|a@ihhnvMI#Ek@jOV0EOFMae=vK+vOiu<)T&NQrr{U-B~vdfd4R zpL!fv1(9_dUfUq`D%K`HP*W@zg3FI7+H4Ki&B49DlXV!dl;hx|TOI_y;&19Ce)_`v zx%qx(7+8>zozzXlxmgpP^KIuGD}QNI=AffMGy|}~Wyr!Ob79MK9saU&jlR-U`Is_$ ztBwcaFy?T%QK9*xV_`acJoPK%%Pea$do%k|GCazEwIYLd{%SMzdH(S(YY7LgMVql;_(^NLW8ZjSuPY-d&%kr%pXu5-hm|A&a^4t@mO&Pj9 z#~RJd=>0AnKBjSwKTv|@a3+pnw3wWE@h5SomvUBrU&os_4W!06`9mZjf(&*d>j_UL zWb%>i?c!43F$$o{EY=4!DXW2vhFq0-cJre1c^)n?GC+C^)? z)5pN^9|K0*Z9pGUT|OeV+T>F)9H@TU1DVy2DlOi1qpq|rY08^>YhZj@lwQ&oEdKz) zG!&rxpi@2V-SMwn(d6N`<1kwsfafA5B@@l2$39p4HIMm+r^GS(o+&BRaXw)p=_F_W z04*$tEgYN|>XF4y!?R!0)AYc#@6Nlt1!{MEYQu-=t{yhfeZ#8*F z$o~MsnHh;&`9Y;Jdc1g0u(7L-M1I=v77$AAM(drz)!>+oYgA}stXXzH3RLY>Q}&(vaGvg%4=gpG`_UG=bcB_@zl3N>P)b8 z%s?&?iL&GY`|1^3^knLwFobLEvx~;}<+_?D^)EjE01^Jzhi1Gg%jRRo$P#cUV~ z4|QkU2yNVzM=BWdUADz&ZjQoXE5x9XrT!%o0{u>I9xOgn`#Rl5gj zr#C;aaiQEP@6VkVZUc#_GFX$o?Q_R(0Ygh}gPm3#G3aTj{)4HxC(}DtsK)~8?Hja+gj&? zc z%P3GCC5#&h5b?02!t2tk)5(%-^PzA=i?w#JHbM{0QOx~G`fS-U6vR1!b{13VZI|2M zy8d5FR9K1!PM@Z&nFnkQ52v zqUM>%w;S@P_voX|`uI~nH5O6v;Y>l&I|K1FT=LWP&>hr+yNykWlA=s}g)z#9fm2cB zX3o-OqR07H#dtS*&ancX8IG>tC1s#D0|^iz^y%z!4}a zR_)%s)t)eL&Nf$3MNF4tbqJ?*lwQ}rI`XB5eW>y_sFnu_XI6XgAaN9Jq2Os+-efj4 zx3NgzE_1%ZUtazF)Z8-`D_0oPO6`R{TlXXgn0Eujfqkscie*k~GQ_oA(eJ?XLx@_2rHe^C}vjxx< zzwKJb!06cTl{NqZeCvQowXX7LH@DSV%=~;H^+@9%giMPtw!4axesD^%_ zDH6oN!^hrj^1sz;Tv>LkSGz1=jmNyu47_P}F9BtxJAAsQ}d8{{X6k zUqUEaiRQL7ene1jmw~6go48QyE8kW;$?R1;Pnpk&tt#ATGjNYp7Ws`cjtDl7iLZrT zi5lE+psS&4l72KHwZrJhMZq37sU^d^M`ODj>cM&K`rpG%5#Zbb?;rP5r^|iFe#xs2 zCXPd+j0l+*Gci|ii+TO8+_b$Xr9^Q$BrS0n{;orh?#|ycAC-M8QHDl!X8@vV#1p}O z(dAP?CUjH&UsBRZ9!;X^7;C|_denAyRS_u!ZrCg&DtHzZk0w?m z^5Dw*B+7wzBsb6(w*mI3=3!1BdG{mAj@!_Y>0#aWPx4Y=er8D#L9%DVs9-n*J@)xe znw_CT4me^VPJ0Ie-X^1#HCAZYGOG)zAoCT}a{6rX^l32wGsSJmEbXnM8f;&!M-Q%I zn1F4u$qrMp!wEbcTTqS4r_0Kfr7rEV>{u$_Kndkr zXh=r`!mn$aT;IRCj+VD72Hg15n{faE@T*Z%#8tUh?yFwgwIkzN@ohzKx2v!Y`acTN zhPo)HbLoU^U*^=&BTnjh4+c9&eV1R~N=Gj#AGFe;xJ4R|5^O5V!3NPp1EPU{;y?FL z`o~&}X!33){(()VgF{g(XwtbXdD{D_vYG=XAdyobjv+|YkA*8E2Z}%*CmMpMg=_cLp2k*clrwdtXeN=Syzr;+~v zZ)V|BbhOF*7D0A+=hI!>2)>$nYYqXFa#f9j6D_$HA(_2oPSpKTrR^-*<8r5owQNq?We*VjfJ;YpB^3h@{)Nk>< zG>1zK#)H0&=Jxp2xL&S!&qZTQYsUnBLsPw3t~gJjEW{X15Qll-*0J z5Yuk=R~hZSiPzk5<3c0~qlV63MNY6_ek4w%Bycw>DbsT=)bFqLBbJiGBJYw=*Dmey z?fGrNR;1~=H_%%QR;R)k$KYe4v}dg3>&EC+gzDPkNq|IR;)De94IZW1&OVIUf;Hi zWatKz%WibqXr}eJ@H`C~Bb8k6uL?;I5Nu5=-u4te&21)u&{osPTLF3! zh3)CKwQl+h-zYY$x7)bxE=L>K*#63wp=BE&c0E2u<Mf{9Tze}uxG$ER%7TX>W#k1tbVTyQY0^Fh8q$g z-^4RT>E0_Ed9e|)u}G2GSSJz&W9rasWP*W9yJ3{NBigx%h0447%~=)Qi^@)4vbs9DtJ0? zOpB-HXIOO{sE_pk`B8tiz6(JRTHFOHfv3#Ww$PD6+_j=V?WGY+JH-zJY92Le4mg8E zcX1umM|QQjw6>LgL{Xtyc0~&Mrf>-G8k+DNDM8_VM}VS=Y#8m+$4}i$81B?jJ^ECE zb-L{p8l6Ili2>8x4#&ZDwK-v`fN$bY57kHs)s2AhrYC@1MJx<0?kNO+5V`&IF`0@< za;YaZbUGSwFxk{_{_ie6`*3mK)XN#Mw;GqDG8D@IDigr#dWu=$Dzb~C@+ad{K+>)| zNV~n)y^n?KClW^vx;nV-VR5Ao-~P_PR+L!spn0BDjcqJ0DO3v%6|E~@fdlo?@a!N_ z@Swb@8@oyi%-*d@^-h@D0ZJ{9ocKpIh315-vqFKrgT zeH}F>k99F4KtF8<;LmpbW{veUqmHy$MVx9pd+K=cVv8#WBh8o5@_}r57<}n%Vwdfu z4z-pd#Assnx=t(_!4BRR`gqb|VRZ0%hg5_7=TT$1ai&9Sp+6%HcGKZ>`3&7oVQk){ z+Uv|IMTY#GhPLKB$ux5F@#9X7jVPZO%7b~8O~Zr7apC+m9FUN;!qLUf{D;Px3|0p&#gR7vs<*YSYiC);j!cw8vKJb8y+ux{^k1f!7f zU%IA>31r7vytxsh6%B4o2O1-3RR+T1-I@Tc2bC2(El07qE0Eq{eW)Z!m< z@&}84P}H0PnqJ^qGd&$8tU%9}o6c=T|K_`nbrIZeObT z15QGen!ONCynkIO?xWsl9!8iBR0k@s<5nl>phqrrjx?iNxlsq`ttpt($lj@2asL1T z`l*k8f`#>XTk)o1bWLehzEvN$TF7I;6(dvoO($^UPhsUz zbMdUK9Ke4MBBl?%b4~ zOW$7Od2!Oq3?oHV94rQuWW|-CayFJjZ9A!nH)c0u%#%jLj-=2hhbj)kS_BJr06XX! z4tSo+)%&Xb;)tVuHGUKnaTRmKP#yGqD6c9v?xF`4AxFfUP#;uV>7l!YSbY9bKzD&^ zjy~X8faWUv>t0puo(GLIiZ0B-R38&+W^GzWE%Z?}A-AuMNZi*o*?P^syxE7Law(^*D8Nq zO5H*Ql_VBlb5IXH8j7Aw8~z!Xl-gBuPxsi!|EAWSCoSmPUCB+JZ-_MOzxeOF}ID%B)A_- zQb!(ac|@Bs$8uNVdDfbW&|0<8c~;^+7ocrg3bkLc1$`6+U$XUcSMj1M{HoXCLW6Jy zzKTToN1N#t9Andc%zhNajR7D5;ZT99t;|*<9Xr9p~n#^D&L5goak{w{e=gcwH+u@fkTN7C=XwEwvrou)=A*d2nh%W<4xQ8ip&IdbQTu5Vynud+>9GPB0VKBW zAXrnwDu<2qWj)582G$4jK>h38@f5j83C5Glkb{TDmROGDadjiO{*CJN3E@PIO&jP1 zeKb!hRipegHj1(CqNAM)(zUtJ0*iAMx~ev~L58rn|0g%Eff)1wV}b{`tB`fKCHh+RvNpnOdgUJ3&L0EGnj zYf1$HLW_4$@1f&Z76{-@7&}34M_y)XHrr`Jcy&x@=x72 z^jz}rqNnIRA2A7A`pojvkUzMuI>7k`vMJqOxc?)u_s%YPh4;mi|CWt+bJLrMR zjrjh9c+p=9*NqWs6=Oh-b@3H?`a3P(R-r=tIM5y4n6Ui2i?H|#^7G?HhhP7KeQ+9wLH{09R9({H&1=fE2yMonH3wuk}oI;pNIv-O1@?i0ze5Kvxubsjiq?S~E!YUstSa4#!d`YGUo|McEi=}Nk9_n3acTorEtw#zwxL&g5L;YNbHt-r%t)*@8ris^bINHj2 zgUY?I3CED`fzNUIeu|4JG+|(TM|BLGW*d%S;QKq#GZAie}Qv4|VD)cQ{R}Md+V_I;b z`)D5uHT2fB)na+j>?^^C5#da4d+?*+C|{j?YhDz@>Y>fP0;Qbsk&o=FP&7UjW5Ta` zEPMD-!V_Xrqm?A==7$5m&{LmCpqP>VAq1)Fo))=9lvk z{uB}w3t>R^2jglQa-eOkE|+Q_zKykRbZP!HX=o1b(N^y@@$BJO6>7hY3wAK>G(HqB zUrwE$O-RxoGx{p@0Oo4Kt=&h!QEp{P`dWbh0JgoBucm<%X$OwAr)E()Su8%9fF2p#)Z#d1G4?bw=SC3!iYMGw|xuJ zng+aU=%#b3fuN)CuK;L~+iC;0^?GViM2&e@3Uwrc?4S|xq+aD8yYvU?1KMlpr|=YS zM9~z&hlK+}O22<-J>8Lx_9OOC@UA*`X~u%vUS_siQLSFz3M<53w5`+)9~$`8>u47) z>L~{cC?B%B=z~VpVs#vAZL~BB`f9*X(yvyfP%L}vzSVjfP&D7Fi(i#6@uN%F2X$Y% zg?uY-KKd$nj=@@1-`hsqsINLEgLZr?bsood@3+xT4*`2oZf9D*Ay@3RYx)9+@1h3) zD_XTf5dfEz51>#SYzJW!C>pq{_S&sis~RJf5GaoEtr}t7!il4Pb!$+r6<{jHt!iy3 z-<3oBNB33vRqIYQuRh5b{JK#*FHe3QgT}V@s*Y!cVq={FeaGmd*n4yxmbSIRhMj=$ zG-yzuX}l^`+ zVXbRUHLWcg9fI6%L~}K6@lEmUUyorvHGWhztBNANniP8)jUO7lU&gKDU#^8(zKZ|Z D!4+4# literal 0 HcmV?d00001 diff --git a/images/sim.png b/images/sim.png new file mode 100644 index 0000000000000000000000000000000000000000..b0df3e588012714506607d14a244c4f5ff2d3d39 GIT binary patch literal 35139 zcmeFa2Urx_wk?baRFaV#R8%r3k`XWgB9duBlLQ2$Ns==yA|P3mAXx+n-2};!6-1CM z(BvRla?TCBszwl$t)6$!x$oWofBXA3dw0cEtJa!xt~tgW9nLzUb{+ zGFVs#eXy|h-#@$`*s_GZb`1E>J~J7S8(7IT6vM!egSywnuVZ1Q`r@KB4gtT9JiM)B zhJ|&)9Q=1*mkzZx7S_`i(OcK$tkuTX3==6);S-)W?pLz;J-a5{>nwUs$o-m)MWcJ# zVbOF}nKPzPCy9EVdk=BG$k(6cJO;hj=lbN+y^~r*PwqV&GYE0GL7^MjRc|uo#O-S2 zY-v@W`eo(|WRyb8p|)%7`J!X{>Nm&S)g>Rq^oB2wPBnrflFcj?)`VW0dtl=gCyYw8 zmA~3-{Sw(Zgzny0+YnZ5s$?y)*LnF>uIZIZw0pShiS@a&z*Asd8(N;MGlU^SD4luZ zVc?cJob`72>STN+A_*42K}QV12e+=ghdUcJNTFUGN05QP=bYfH*&D98iERjZC1+D< z6u9vfA)4Gg)D?m4Ew%M~v}m1tBn^gyYU5W3K@I>1JrbBosWT))kg?y``pvpAlk&^` ziqNw~uUU|V2ydpFqH-MI^}ik1K-X?O{^h2#f@`=VX(PzCayI{4TNjnwn5)fooDH4a zaI|T{u|k{QTc7(tNYph+y;3cB;iUMTOh{6iupfM~!dR89%wZCpsJAjGv(@ef<8`HG zV@E?sQp@zlykPKhb7AL3CeMS%h!hx2<*7Auk!f#++z`GZ9yv!rE=NJ0)5})&A%!_x z4J}%(793ugPa!nSm@Qske-;c^<$R>cwGo1PWKrVbtbKdn z{=iF!X4CD9gUuF7};Qi_2e^Bn&29ixh?jLex>h zf%HeGp$eYe{!5*B;)Z_4VGd=UlL`XK6duHr<%<#tu+NeQ9=xW1-54`a^+O&hpr|7oMMTm-*(!&CDQ#Wxd5GctU^-lzzNkxC zvGTFu`rpWPyQgQ!{k=W4m!nu5CgWi*E)2dXujVEIS}t+HJ64bE7DEH6##}=5)>a|g z1@@;gnC-#m;0xRm{W=sl3=P5O`0dDU)wAQD3mCrZCum1OYkI}!K)^85Gb$c_Yg6zI z`c;?{-S!O=v}Qj!gk~^E)Lvsl!knkCBb9MV0t*ypn*{~l^*MP@b5R}`=vAx*ev}oV z=UEFPT;?79Ovg?ey-d60)$w^O9`iDec84$Jw0(GKzf39W1}ijK=At7H8s%Fl2(^ZI z;_eSH`8ep4!+#E*%npnbZQeU7$Kt(cIIXI}p$w8=*u{5k8^0QD70Ra<1~$?E-5DuT z5rc`BeE9ubVZH}88@^+!oDp|qtO?jJ(!GzbX;Y-;Xc-Nqw$GE-lsWx!UCTUto|vBw z&KAuMG2-U&`}ttbVfk5d2_1^aS!8d+2}lvz1s=GdP{a`1&8%B=8XjcQ_dy*!5(I}3 zId!)!y|;qTXeq0#WX(8H6E^wxec_-nKpRa%uOL?toN0Fzs%-ka2NY_vrpcQxu}BP$ zEE@Gfn4hKSk>Sjku!`1(0?(QeXfNKt{meJc{|hZ592{mz7t|Zr3HtkdWOHVZ4nF`N z5<0^n-gix4LSVUi==)&YI%+~TXF1HA4tV8y%*!FMCTFN64-KzlCfl)uyZWD-*}V@e zTQkIWz|Sq~{n+v@y?-%`u%7!_>`xmz%u8H0D%GFxXCu_nXge+Jk~v!V zjPgLhQBKFjp`sP!YGspU-(vBXB3l-tZrlxG6SOiR8bY!$aG+Gw#DJKo`IewprDt?J z=u;Z*MA(qd*D{ZMGFDl~pE+r4KSAp(o4Z(GM}vf(kvPCP$uTV2a7HG$>3PcMGAC~Q z7W;~Xt6~k{NCqFvp5kf4qw2UPrIS3A;HZI$6<(yq>1Dq+OCM!gyE(}-`}Jo`z-vXG zASxO%|LIf@^P#Qj{o_D}kcq2XozmZTEezYB%Vx~-%5zfUgP2M5okp&d<#bi* z=w5|f$Msp~R7B@SP!W6C!Z#=H+BI|#T(5mGOmn)n0I@bu3)hSLn5z1gn@eS!XY$yA z3hn7k9a+#t#U?QgkrQ5wnKjfV zKsUK+irYrD$~i#Dm74BMw;wNLJrFlcuCR=~HiTo8VO)y?3<@VB$H`CfnWhGgWK0rJ zA{-|Vb<)L0Z9@pkxDUNC+9t>1mjb3wN#_iGiMuUjY}ZVz+`PjXtp z%JklH>nTwjWN_5(xDK04w*)K-&^e`|mAz-ArWyMPWb7i7KJ7e6c=8It{!B-9(9C$g z2rRw+s#oC?<^4HHRVguHq&2-Mv^yUwDa;D ziedibUM`8|<#*h6{(W%54AHk*JxtNZ7O!wd1l;_>~r-2sNp(FW;A8W{p=L3-zR53&efywa$RlFzIl^Y=t>9+xq? zM3h#4mXUJjAH8r?_eQ565D-TpAVE>*5pB0_=X_B7sr$bJsUf#DYH7YvcBH$J!YqnZtipXmmJnX(Q>4@|tHA5L z$AxusYFvCy6ejQt-nbIz-=}j5TnfBDb&M;uPH)U^;3aOgqKr?d3lR;4(BZRBVio$V zmj{9j5B8nG^dOQ-)1~AxaY$+m1y=$LIKVc^V$-!gi$hf7BfG)f$eOpqSkpVt}9LJMmHwv1# zTVzHt1CI$VpBga$VYaWX*1ji?Xq(b9UyTYpC01eb0^xe>Mtn0Fjxr20O2X+AVFP7? z?rGBa3dk9S6`3JAomzNOeE~N0S(FNMURRw<<>)8KY`77JWvEY1G+r5JrE2wa(~cO# z*(b+FGCzF?gkt8Q?g*kyD*U!LBP*pw>F}d!4;qcqhm4}>D@c4FLJ3Csl|vpTL1N-c zT-Y!9D(V_=5VNfx_P9)(GQ_YtkG0TpalW~8D!4Lb`CHjSN=gFE%uFr&jw>(3fK)@y zapbfeWCrIAqoBSY5}(jj`L2f5yGF&HhgFnsk`Xq-=`I%re(6dHH$e!9L^U%I~Vo4Zt&=7@KSPnJjG3mYaO;5y^ir;1?^HPiH5GA8R za)yQQ7(^{J?Wi_C4Z4SNygatY^)vxQU!epgj9o%JQ`sJ4cB`RuUQDogoS*{MTA0upFw zkdn2=6;SyGl#H9GbFWmBCPRad1~8zrU3<#DE!#h8QYjn+S&f@UO*H`) zkx5!U9qC}?`g)r>Q;`bt?UjJYxI47V?ENaLgH5Y%4LAf+FYA}$R$-V29FT1K? z2BK7U{G)X+m0af4M>EU1841#04^_u(505xTh~Y%AWXI~%T2ut3;ChAAfo=iIu{W2x zX8W}!m*(;e!nG`rXZ*c1<=gWS>9=i6yF^A(x?zZ z$J?NFs7Tc!_=b~fPkW9xB~`~|k%>aPb{`z- zHp|+D`B6bVmW+=_-LzQPwf1<|H|6>F*clrC-7oy#yfYAd-N1W^N;qat%}C*sQ|ARt z6eW3%y01km-+pmf1WNPAwvQ5p=!B^~BKk%I>@T=;l=+gsH_n-|ekjCef7q z>+dJ;yddgk;zRCDQ+*MVbSOXI4^84pMz}-+b{Tjgi(7RLJ5JtwOmW{=tDsSE(P5%5 z6GxEU0FMo!y1uxhEui5%e3qU6@r2fHV}I<%16%g%zuNJ!bD=(AJZAS_i1WGuqpAbj zL&QBSBJzsy;M;@W{lw;}@<~dR6)mOIaQ!!kL$q9Zx787C9cVq{2S1r@@a`epTjrXj z{sn>)P15NYf1z}1xB@S1_{+!7%1UHIIsr3%k++tEr51XmUZDdD8+j5Rof7k@YEPKH z=NOgvx=}??MvcpMwh6{C9&7LS!93?}yLlC*Hg}fN1Z-=<15YgOdr_jRqMn<}jTCWG z8_A$!Gklm)0>@x*JV7Pow4XUba_q^rFR9pm!SP z-I{fYD+ywnp+?|a^N}Hb-2A1rc=rQ~LdIWvvaA#b6`3!&)v_`%-gkQ9Pk+4O{)mvx62j^lJ?nGGe&#!2w6FP#j{?kMd0 z5Uzm^ABxE9f1cfvd;7sjlxffGOr5;}O#YP*p1cA~)KOESVIJrkP1o1~vC|uxq6#n{ zaBPveMj~ds(x2?^?~}+j?MT~=E(b|BTcSJAc$jjwAz@#M8+@vZu%Na{NXF{usnhh& z5D*iC_?!V8WaOCuTEcLs1X+r@T8+ou{JB@Cmg1VmuwEUusw5h_j+wJM-|h9;Cv|iB zy&n;ri&eA}4omA&wLaAFf%i_v)O-svB$GBXsaEzYs#!Je{0Zj)OC7i(B!5*{2ZbPd z>k47B50TDsu0HZuGU^Pik1mj3> z{<-WhP1R`#r%ynZ-GGJ!XXg^+KWIAteb&4L!t51&;`4RjxniZ=J$#Ra$m}$*G!SM7 z?&T;E@CcWEn4KOm`>?Q>IJUd$d!YK8&DY{u&HJl@X+jO2 zf9bESj`Yd1<5NsDOPkkI>Yqc0QIcprOk;f**YgCsm*zb%M1~^aj+aWBFG)Bmo+K{1 zeo83H5F!@v+JLkW@v>j!(`nzaJKCvYDV%dBPNW*2uS$v@7RnoB<=C>dQYok5f%~pa z=6rpOJCQe8h)>R$zg%t1sZXJvZ#G(}uzqnQly$v7w>wYZWsg1ytze?NXZIO3NeDTE zE={J^-BA)pC;PynCqT#u*n<$Y4E^=zJED@!)}LmSSFu1r@~kGi-kC%%wL;ZUk0RHJ)dG&sRiZLSE zZpAJmMB0pqX3~!N@~CP5Mb7sf(wMu}gLe&tB+(aHW85fg-}|wL0|5#GE8n8Ix~!vT zTA^Oc}e{kRlJJ;k1M z8@;AcruRaSBp_xKPd3>U{@_HMGcJh*bE$-$wY$(;AU@%{)8J`Yt!}#&E<_dLV(EAz zlXDp|GQG*UlA)OuiM%opyRWGZtZU2aVZ0V2{hynQn-$a@EXuT2N088%mJ-@toi1Fd zr3TA2PV%-f-!MhhXR5JHDOB;vjCA=uHJ9&Z`kj(1Fi=}O;dzpp6$tyqS=%_^!{92j z5|MRy+KmF74{!EABE8?4YmsL;o;#k^Uc)zeHGSkM#Bfp!*H>^psjiNI3f)Dio--RP z=)mbk-JZv~WC0*fg@gk7pJ86(qb1a(6NTIl@5^?Xjz}ghyt3|kAZS`-`zn{Eun$}4@nMSzLR|{KAFr{_2cDirxoJyh@zMl zM&3>LIIoIz30LLehePUXBMQa^^YUx68DWgcOW(5WvbycMo3o7aXuYb(1;*{<^aI}^ zuD{8)kMdgLfG7ytatvLXM5 z;Wb2C{f^ZvXiKp2HFihLQEH6qOb4(Yap7&R1cm`rA?{@;UE9o_Ic84En+TV5O=WHRE{jzDvkg>38$hJE>7{VcQ5KLP=YIfhzT)kalflB*roq9ufdahgGM}<2t z+uwgn8u4u@-Oz3qHkpt=l8_WdDZm51F9i-s+4d#a5c)0O&`dc&0$3ud^a zyX~iGo7cMLKj5jCa4&0!tDtRpMnf9SHMN}S3Gf&s1x8gM`h}=leOe1g!Kg}#hoJ~y zUtH_{Y_;F1f?Y;`zj?^t_{7LtN;Ix~QeRlG!%L2q*F8s{DB*`Se0@quQkW&HrctM# zCWM+-+J`7=>`%o3l1tit${Y;7t;(Qtk=2)pHnuESw*LQ;t^VMY))Wq6zQ4^xpC*kEj*t)CM7JPi#guk35pg zG^oNC)%vWN2R~Xpdy*5)WQz0?qDoiC^d5#@k$$uA z+|bZXRYpePnTCp3M;WGaf|z-|XP4{s*hZ3#hniJk^iLX#QIva52`089wc zYXmUZstA@P&PWAg3sXL#??WJyj~W{Geey=iC$S-YcW6I|XFErsh!! z>YdXqg)0amdfr>-0zF@2pUymoRwB`GsZQ%FjbAc5D0nO=Fh;MGRWYMjj!VLJJ)1nZKS1wZ&-23KaU30Nqs&eky?)PCtPHaZjl%e2)IMP9x!qt8RGtPi%b4fO) z`Wjh(V+dBmhg^$M%ZZL0o7urse&*wColS=AH~Ck;`1&~LZ#eapsUPXf%QnI-Oe+8E zDKC9-e}d$xGS?7g$A@)SD;iuS7-$1v&tp#)wLO59Po&x>Wmxyih~1k0N;=r|#Tr?x zwQvNSPscX0K|)WutKs1hPHAz0YjN%yiW@Y(SJ@U8sYI5?8B@>`ADnS{`rBYM(fwWtR8; zAfFg5lI-ASZ~(Lr>#pDskN|DV)K^GRGo0Ap`#&oF`qV3|LCtsanHxKYBq z!G9A0CEm>DV|HEQ*z871REE8 zrD}LN$3RM>!S9N$k3rh!#(Q7}+^P3Cjm5sI!@%(SB?Dd=j6!fAZ<6LM^%*D0Wq$1+ zJW=0RcfuhBri4}c@Mxq3+aCaz3-_ClZBu>XLnRUi_sZoDBVZ*c1R7P)$n4QNtBy2J z`5+=RK`M)sp(S~Bv@c#j#wlDKfqIp z2}s$=Qyk&Ydgl<+Ds_au<2sztcN1;V)Eza3Am!6X>@7iCx(#5xX~K-GVR?x1?1X1Z zr^A{Bm;K<3zQ9WA+NcN;+M1vldN_JUHl+pmT#!z+)!K-K?9+Q{QoQVd;)r5Qmc`0H z7ctmcP4r`p`vX9rM8Jqu&A$*AqTiGV+B<4!^tceKzZ)HGXU*&7&w6m}ON58*@pUGs z&;w%5+2@x@baX_&+&VGflu?X>lJ!_NfMgEawTXhU>%WGv_{_T}MpL%3cf2i*f{ims zklAbc`*`8GvNkRv$p1xmsRyuQh+iyaq& z@=Kd8R9xiTgj3e-p81)U#wKbj*_e6Uk*SeY5OuzzoNzbpAZQ3U@C3We1;%de+0%C* zd1JDZ-FcJ4Qnf&?3t~8$HLhX5G)3688nxJ(yHDs%{CYdJ%}F!kIzEq_6gp^d44qmdHA)x%%|YgC%Xvy zG0I5Cprp$3Pz4L3&$tSr2C5e{3@YV>Q697MPqK*2 z=XIMWyW#gVG|u{GypOus-Tkmq9NCnZWpU=G+#HCDZVxN@AoX>>yveStz7K9$o#_s1 zE0Q6&%s*w^cR-cTc0mJMIOfHXJ(6Glx9!vh!CD}Qf55OaMF@Z_>`N&EJAsWY&cH5g z=)V&w`KxrF4-(cxhsni};k4iSz8KoEY}tm6|Fb*82dZG>nZ_Ga=?Ws@@L`t^N7P@u zs2aHcs|g39*{V<;rhS{(&Q9|fcm)a3mv>{coG$BzCcr*?t{#Y)gcusFXK-a`a=X;> zlI~?x0|k+awKE8!!ofk)`dI*mv2Iv?dvY(7S~nNK-_HUF3~0p@AAkr9apbW#&I3b2 z!tz3y|l#7a{#ai*S@fUWSu>1+Z9!aVZ6!{O<-+s#aJ@+ z5&lsTo<%*&6)EP=M5i4Wjyfj!4d~Th_sFXOK^V8AJ3*KoYypXEL|cfJy8ZaXXi8qs zl_2%mFTUgIf>2ai;3?sOIJH!T3xfKphr|u<8?j_vj^;+@*G;XAX|_7eK~+nEh4%!V zMUS<3IP+ee!z+hk$^G`4)qYvb4ZegfE-uDpEqvJAoULwIYG?2TYf`kQ_BG^*rAB&U zI{t|8Wpa&&@4j7hFQ)nq$MAaaKLc+7NotqOlH1FNn#Us?)(4d(!nlvywta~fk&Lis z#>?ukDFaub;aU#oMjwc9YU4AK`&5}~ip^>jCg&Euw6jSyF|zPaGr|LUW~4y|h4-tg zy8}^Pb;<@3NTZDjvc7`r9|xJUC#@gqlE8;@hFEg?nX_1q9~D{-^-Y!YuO5E7LDheI zjjm0kOE_%iT*-wuVG3;(bs6>vz3NQEw&9p0RmoI?e1t>b|E9SoIrX-uwVYO{)c)Qn zPuUA**($vaGp6#2y>D>FONlHCYff4R~W!ygf^=A7-YoX&BZS^=_R02fc?dZiFxtZN$ z6u{;@ExN^XT8KG+k>F?e{r@5Cn|c5LPQS5*u-DDOC=5<+hMwiS(p_Lw0>-8P*`0tr z&ulJSE2&kZv{-*bj7@7VF2UA*c{9G}3C+|pYKTqeOVt7wTZZ=rOsoCz5kW& z1UMH|2r9};6+N9TkAOZjO{dgVMX5=ajgo-Fao62E*T;w zB2u%ScF8L&TuWo@((=T%Za4}jN;;Q;8>$`7j3gIYz5P;fY9`_aggm&G;2%~Ckwwda zCut>~L|D#!`|^TT9oL!Jsw>rW;EwW;V&q}CwFqAtJyOY8!{B~bAuL2uZtL~LO`D1|H{T=R(PsdRD$_l^M z6#+HHZ6Jmm{roCwJ}%)@Z3t+~Z)*!*X={uJ;a?q@9~~O-C#;=?##jTTw9uny^8T+@u=^fvN|<=Xo5YgF2XwJNe;eOm*jn!b-8<16Yqv0hU~@tn@w9v$HTI% z%>h_5I@KWoj0$ZkUp=#pa>ji(&W{ipuSU<-3JPum=C#jXUt@xzJRCWal^c`jLqFeE z(ldFJ*78PK*68NN1@9+07VMq1F=)LVu{;Qded7mnjt+~R*%~FTTtMNSj(4A@l~Lzn zMfWX-+0sarMZ0F4EB5$({cap4(@JUQ0W!lQc5}^C*j*vg!chCz4p*mFgq|pYR+vtahF2G91t_J%H z@`El%8=_yqN(E*XW1(1=_X$}!ivO(giKj^#@q(w2eFpJ5 zboyZkrNW6}xw(36Hdhwv^1)12ldKkIfT2}yF8E?54rkjZPVRrys!{53+RV(%Zec8E zc`&prD^m`&KxH?+&fjgX+3n=)Mlc?+@yQ`?;b2@AA|T*m)}u=dg6y7$XqQVkqTcqg zcgSa)OCWo5UE`?!iPPyXb!Ihr>*Yrnyu)QyPw0ArdxgXge03p=}nGR7& zh8^!rPzZ<)$`8?Yz<1nkxOSr#i0)}63yBH~S4NP0RP74tC>fliaH!Z@B_s#kxl66f7Vb%LkD>72^Y0EE>nI#jQKXs?_lck#kV9+hI?>%0cQD?4do@J+HyWvo{ zRfLkoM?}`*8<(=k75N7ba0neIeNsA>t0D!+KlzS#5~G3482=fxbcT~+DYj4?)TVb@ zQ!b2a=`C#%Iq!9)zQ&LPBO`a_{SB&tJ4ahT#WNGp%Z(IWZrJA3HR|tse|I_-z~1Y{ zSRIyn+-^$dO%>x-8-BG)UYnbAoN%o*d^hY)yXb10Ww#hnt!xDU7Nx3zZfsb6Ozq>! zk;Qx5;~Kb@!8^s#0{{(0-=q_c=s_bmIxbe&s9o{raQ6sSE00%UWXq?EL=}E)1$k51 zu9)G(@gssE!m2to+LY9uV>UEc9a^@KaqEE<$TOQC6A|QHNLs@Im|+*ZCcAQ(m$F{p zkv@bS>40BrU?hH)ijlUoj`(x|UAQbod0=yrGy=*)jbNnFJ(#9Ir+y|}3V69CR6I<0OElYfSU`>l+$RzCr$jj3uh`Osjj|z`}Wu!=)K9Vxa7h? zGCp|`c|2jALoTvxbH4Mm*(z#Pz58Y>Mb|>ws32Mdk%l@Jo%f`>bYbef2C1WbgPc-u z!56b}`}54c=L$e#hZ8Q#yRS$9Y){JWLck*e%}P&GcLD@pyUEqICd0)isX3GyulW%X z14!7h?Ww)O0?+|!_!m1e0U%1Ppl#6MF`~(88*|W?m^lta#KRD@5;oSQ(o_71`+AO< zwQ!4Ph%AF2y(Mw{>SCB9# z?qqJTkLMWteD`798~%YF11zoIxC|B4J!v(^Wo_~g+`m+f{2zqT{|d&SP(T$+gTBxf z$Wgn0Ps;5g8gDV+|Fb&*SfNe7d%Z_D#fNvJ9@qI~;YHfun^o130%o?3H9ESYxwjio zoRmCfgXI@P?^1(n5puc+j+tDuaJ9e7aGkxVj0$-fj0n6SjTg5ZrB1w8z+D*$Nz}E0 z;HsOM9Y%ya0*x#{GBvA+T$%r2Wq;H)TnUF5cER;nS8vfxsyRzOt&o15YyT~OF7(m# z$`zpcC$-v0A$<>YA@~!3cjsVx8%Xii8^qf+CQ^mTC(hx1tl>NWNrG0#!(4wUSusZ< zl57@BnqA%;@4usaGyH9{pMs$tkbI_9lzCc@_F|4xa=om0$+ zHkJw-m})DX43j7x7dy3_B>mtOC@OX-RUK!q{sQ2Pe_o&vCj`bPsy@-kv@8uAOgF!O z|5>E0RF&>41cW*iq4R423b24^Bg{7(s!;`ia$EE?EvIpgLzy#Q$r2wxKm2^bIMu@M zP98}}psJy4Q(9s^kI+f_q2WaKcz=KTNhWYe=#x0s(8EWXcX*3kd7@NC$Pq%Ozb|uu%@*Z0P`bGe%_LM=LQYEPU)8rL|{sIFg&s7 z{;@BK3mK30Dsh_8&FsxT9hG!Qb**)Nb&*<8QStBv=7-~ZULc6M!zC{{*0n+ug1&S{*1ta>t9_f8&{G?iaw4Rxc!W{Nfyo@^t*nrQtkv~4N>j=zs6-E~}kN&MMQc*WUx*nV)vhtg98Ap!yL@;B#(ZJ`#&+7I6C!e|O=P11-GFTGyxFzjq0`SG=O=IgMJ6Q|a$ z`&JN?x`(sL6{ZQfOln`S%&MnWinyp6`)&=-jQA|>1I|rS2J^@EiG z2ESGX8Y5uNh5o$4rPK(;eUY`*`15||E1Q5uadk?oDrf>&Zd7Q<|MBuMh~MS4PN0Ch zv`Dqm<;e$p=;@8QrJ;blv%sMD(nhr`qUy5MaYU`4878>PB@*_ z16f5^XNPf{oSVyt7V(X^x(p>T$eHy47q_{$GcR!{WUh=HR}P_`wLr};BU`w$b0xrP z$-Kj;p-3JKB=eg=$(@QwT6^CPP=hQ+8WYN>;hM97?N(RcUGXZK?Jx^cnITdpPVo0& zPg)Y9L5@;=s2$I!B9FYx&MXF8|-$BgqfC)%#3K=C5o|5SP6A>#*C z}hGSdjX2!0*gREVg)`iBY3Ouq;2o!9Xs zmQ$6QN9jiq+HYPVb1$p3MEfN6r$WC=TUE#ZI3mV{+*2jmVkt3 zM7h?2LKz5PC-#~_J4sU@#{NHbMG}M9%{8V$d)+)de|HDD87zJ@aQH!4_Ij5J93lGO zbHvH}zk=@rjcEy|QZJ$?}?CLw!MY%Uw2?W=|tH$qSAj;U_b-=|FdgMUq9GD}HU`@-t%5bYhm7Mw}(o{VgKQcX~1I5L#aWbo9LIg46phF@I zH57v&1xQ-Z_e~<(ocM~T7Ein>q)mR-O+Oi>W4gZ zPLmiZk(RbygHdbMQmVvUMM-!C4QoRxY3NS|e~A@_gktS6)lSmNXXfY%m`s)|y4hNy zml$yf>{nY-gNm(dU-%aEHB6>i_?&+GSc=U<=?g0;e9*%{YBo_PRNuC~uC;c-`L0f8 z+#$@e9g5qsqq3URM9b~i$cu|L{}RP&uK@~`VJSk>SalZ|mN^p|=IO4k%tx{lLw6QI z?seKv27HhC`akoC%J1CTI=P*NnM_Es2UF_bDU-WXSO3R~KmI;zRd2Dgl7#FS{23rY zva^~LywpycbD)=y?TM7}PBPk0^AmOreckogi1$xRot;Az|EXX(pe3@qly#SNM(&%& zA-xgLwbw$3MR0rhg9WZc@J|sE1DYqgT~!*GD&Sq#VyiV5%YS0r&NOr*IJw|$s< zmeB9^&f6`)T-oep6tDC`qA3x1^ORGfB_2`RN3uI5s5{+acQ13o@7si!d-OV90ZK`} zne0a`k8LL1_U;v+K))$}wO8Lt9o~r_nS&1Tbu`#m2?2VBYv~x>Gib9EF?lej#q(Gs zeo8G%ASGP~I)t)#lg5?WADLFY3Az76Yk$AwVK^0j&JO`?VsnktZbqhH`NG={GhZ2C#GSfO6f3>>HAB-^St(K@V~`U{l=$p zoLN5u1lPj939i4tq@9ihjN$hf^1G9A|4a`4F1E8%M(ih5nZHP${o7{nLd*}8Q8G?+d@d;r7nl7^IB4=@l*a0j_yr%8PFOJz%wT<_!d?O7Ro{ z>ih1!oLjuGsrU+NBmzpV=Qp)zLA6(`N5Z}8ep9D`r3#11heO02R^1NdoCemjq1^^e z+=bRdO%5q&@kTCn^k<7AD~D~>0oEfaaX`68y5IB5v08XV*90m7Skt}T|49x9d#5m< zX#iHrGs*c>q+mVs+t5A&YPEurlHa8Rw^|_+y#HFj(jtw$5U`jOPgG3p1q%7v3hWj? zd6SpcHUY$E^PvO2`Lp4ZcLRC zPQL$e)6KFL-dCt<_gGdPRg5x}d+s6L^yz3wAinWB4lTzrs&d7`m0lr7klnrE!y&I+ z3C%e6{9BB@R2RTf|LiZ{OZ{=ZH>mmF-+li3*M;Aca`;BPuFSg;1oDP*`#Y091O!=y zpn+uKP_-}CM{blR075eWPukuAsJXPdnw3(B5c1%+f89~l%o*mVje>MVhoDECMsgn) zlN*tg$gPT8SEWt0=@iSjAn>plAXpeh>#t*^9fTrH=*E>0{}gx8{n(>2g7T@D{okg(DPhbbj722PZ#Y*At^ z;w7=_tIwbyf+y>helMwJE=2R^Ca?UDN>W#CT>lQ2l1s{u0nByMcm@FqxYWvYbGEy5D0ItpojKdG`h+`ZO;AKCt};iTjQ{=C8B z1V13jvtD;IuXrgTZt;*H1-`z4#rd%=WazS~TI&E8GtywaA>(t3ilsHQN*H--heAOT zqwdX)Xh7m43Ny5Lz@c>(>%qA-2hpErI%aqSQxNMOUJvV0z{Ekr{v`>6&;F2vR0N8J zk#K3fh(h;5)Ta~`&PaIzd^!R=GU0cMorqqJD%MHX@H*>OqEp$C0K*V7v=YEf_vX;T z(}L>?cj;D*dl6!BJSn0(92Jq^{%2wZ=Z(}*uHx9jm#0^kHMNZG(6`spqG$m9gGg1* z&_MCm73E?vy~pFbdhbc+y1XxU4m)J21n|j6jHB(=pKGRkd#Cgub>j=5)_#|DT9*QN za#-(UT$ZH~g(BP0r0kK%xB(TDQjzS=^tGJaBK&7NQV(ZZPswEthDx#vSf_yaJ8r;c{qkJ6 zJ?*jhyEK^>uf-_=3OEo2)l9p^2|$d-BQgmP`21FbLuE6>*G*bDs3Q(x1QMT$-gH$o zvzGnk)Oe^E^phT{OudI63Gl*S(xqH_aJlvM$V%cwqxy*Vd#cX>?M=w2EB>mrF~)3c z%0Ren-SGXXKgjz?g_E$mo3h)V349inCY>rPNy7=g$tuNEP)nfg^k zKo};el$W7MNGIIMHA<9&`hB1joyy5Xt@loWsc4K^< zit(rL9P^J{eqeyvjW+FCX9Ep05g@VgDEOQqcKw;Srh_jDKs5%lp_=MYF5huI_qvHJ zqjsv1R$oW+G38Z43c>X|B;5rT%2S3y5^4n=Y{%*10yHnb4D_t`Gq-J6@lYRU<+yJp zS11p?YMQ|1g?Ug5_OAS?uMU`Q{kV|?5|9%$$RFp5%e^41)B76{9wC=YH$G3@kRBGg z*^u^x#E3H~Wr=3?IfCcioBa01h!xj+TzxOwudP+H_I0x2{A=<9-PRXdq+(;Xgm*v* zmiKcQvjXr#=SNVSkuveHD z>m^41_dEX&c&)%cFLqJ?o}I&N%$L|nX2ERQp;Hh8>T>{1*&zm_1i?Lae)CkS?NbMD ze=k>!Pv5!Vazm0aRs2sCpZjSI%y9<&@()NE_QwJMmEzjj0|~HQnR1RZ6XSnMfSMQs zY5d=3QSAUA#F%{#0nsp$?+&dJ`}8*9@A4+$kBV1@__NohVB$~dkdAg>@g036ZMPaU z&*cy@sOAC!N+f!Dth6B#f6vq>=8=DBjJjWkAlcCG9c<9)n;KF-B{SY-gBg)69>*z* z(Q^CgeNtZohTQU+zdA>m`j-oEF}U3fXI|S6!fB*P*iD{;I@w4iP>K5IeBGiYCQZAU z9DUmIAzu>D%A1`WK`}s@Py|6hQ|noe@wGESGGQFizXD3IYn#vg4>(!^0)OP=EAs(y z13;wU!A&ve9wV8SE9$7L)!)TL|KZ6XnVvu~E3W!_eGj!j(c8CE#h$Dk^Yi0Ds?$`; z{Q|Q$KOes69|kfAltw$rW$pvfk*C?JJ9w2_G)7oBYEzne@EGXVD1r&qkNTiL zOl24-xeVMdV9a*26>WkHCLtgU%FE{(8o!P?0X*g-zGPHM);ADsSU|b{Kk|q`-XDP0 znLTZJCu4%R+t(4Nw2zcQz4dkRqR$CFIgbb3jDSP`{sw#?QwQ+TE z!j88wU8?>Dq&?Trj)YnO?Y!vt%^`~=%^JcubU-Wqd5$v6w4TZPJVl-wk6`AoLV&5E z52o3F&piDjYs2qOLi{jE)7v}PZkmLQM|3XF9u3?g4W0r-cK!{d!Gh^ihjhq7p{oea z**}m%Gxrj-o?ts3(&q12e;*imf20AzE`ovynMEf^R)veVzHsnApA87aM1Mom24q_K zAD!=As%YQ9z z^4w;{ZwWMDs9oO$8m=#F3N-u-FKxASBo-EIK z3KI1f3;?al{^8SmlL)s1)z9EJ8wQW1YQGVdj%zVHG^mflgD2?mw z!zhyMJ>bJm4<#KoWd1f%o7`Qr@qSZX`lef9QBPnf@F@|)(QBaK$JQrl_Dl|37?`OP zG+pd$x4gPk2Z%3*VDWd*1rry_EP*nweb`g5BIo{HK_e_|DbU@1z~R ze<^GCM{jmXSN`Aky_+A>4geD)P<4lC2aNFZ&n*yUEJ3B!K!Yp~23#dv4>c&Q% z?&`qbei3JceT&LR_Od-guf}yAs8;nMUx;FK5sQqdmAqSdt_0^~DW#CT6CXb9-N#G& z!H+Byl$6Jy6W&jB?D)t6@WC+}Snpp-N}mu?Rm?z~UW2K;h*y?fc;*MI?*+fGkR99c zY4pr@Er*_+S`J{nwe-nAS?xl#!F87y=W`a%0Qx4>*gI`#fcqp^p zxLpeiR!hgb|3OPy9#GAE29{4nKa*9Jqb_>#`vPic;#S=Ba9a@YsYEpSt6v2Qm4VkJC6d9dpk^}=?JHp0=gq|s znFK+!MF`sIRVqvz1*jRxhyngr4-R#m@JOX5hv5#04j9DC?WNstnP)5(;Ogn+mh4m* z0Hr^oOF=a0ufC_C6obHry?;nwKGM`7MR*5AH+j1vx;qpO*Pp`A?NCjD36NQw51S0Of{wJ03d6}#77%~Dd z)`qurL%^~2r8j?A+&FixUX0ptsi&@iC4cIM8TnGUzTjG3WL}RMxsh$}=Ypt+@vZ`0 zpa_fF_JIP4;QUC|iATCx|YxgF5~mkRAhv%a=_guy=3 z!<-f$n^FK$3_+*k4)(GmkBjTW?Bq~Pga50#tB+~wisEs}bekeNu}JKwG`K0kV6#KT z2s-VUGXl;&Yf-ESolwWjsIx;pnt(z8frzvQq@wasc!f^OfDzQjI4#1YKt;yKY(zS7 zl#f!WwWZwM_oP5&R|Idm0Hb0^nNG!kp;?{rcBr^fG4tx^y*;A} zWwhj{o23kOF$r&2EQ-<}^kSPQ8bLarXGx+8K# zJ2hA@C)6pK)IGqyr@Oo~XGBVo)jyK0_#Ek9fbafZTO@2>+Z!=ywW)s*TXBwBEJj!t z+u)oqN15J3or_d$B+@Zf9{7R#kf$}taf(pt^6Vu1MzeJ8v{nV8gnem+r)*4`v7cz& zD+qZED!*n34PeaviIEjKA7kmM=>?-tz)~uMynJGF3xTfI=?!X}Hc44X8L>|jKJkz% zEoTwWI-a)HMz0oDHE>mKnuI_tw@hevQtY_ri!0O^27f|XB z8#AnKnwsHpl<*G?_SX-=?E;X3i-KNnCnVwo5D^P4V{)oss|*F2=5_u0F_Fv+kW4*d zV?LpEV>e{a7%Rf+nC3t}O33ftl3w3R#I}gvY%NC3q5)E-9aL&D@wzV$=5xkHk936_ z2sUilNs&)9(zlSMlob3gE1M44?FV%2LQd0n;J)ux(_@+HNYlEr*FIWGDz{<5;_Vz< zTW*z9!J8*0_{B#mVig@nNs{L^)eUgq&%w7@W!=FW}V3>RCSyBz*zTl!BM*bvOscuXap(YSH=Z1 zyfZ9j`M4Ur$~A#ggeSXgtWcyfAMMOa7~$G)Fd8OKnOYk?Rq&K4kQC$Mk?!XE*;1Du z)1^mPvPODtazM6Om;5+_)j??>FTvOG?E;&A3ayN?>quQ(YZ1Fc<64=~56B`}LBa!+G1aRPAMBdp?kSC-sWL_UP5P`v zgMWBgQbrj&-CRkA=)c4?0NS-G*6jniZ`$^~ayCa1*K(jFCpz;h`%sBod)e-XKj7?O4sI#7!@u|pp?aV4*cJyMf8=v zh^gzMm?l}hK1~rY(dQ7aEUM=!`pPZ&(Us=lz)H=*Y9ttAMndkUnF-69-1IfHd|oWf yO`&%G+X%B4QOFiocd-_>{`-nY!lMkBHvs9NTuvqEOaiPOWh*sci{#V&iGKoZ%CYbO literal 0 HcmV?d00001 diff --git a/sim-selftest/DDR_MODEL/ddr.v b/sim-selftest/DDR_MODEL/ddr.v new file mode 100644 index 0000000..40c423a --- /dev/null +++ b/sim-selftest/DDR_MODEL/ddr.v @@ -0,0 +1,1436 @@ +/**************************************************************************************** +* +* File Name: ddr.v +* Version: 6.00 +* Model: BUS Functional +* +* Dependencies: ddr_parameters.v +* +* Description: Micron SDRAM DDR (Double Data Rate) +* +* Limitation: - Doesn't check for 8K-cycle refresh. +* - Doesn't check power-down entry/exit +* - Doesn't check self-refresh entry/exit. +* +* Note: - Set simulator resolution to "ps" accuracy +* - Set DEBUG = 0 to disable $display messages +* - Model assume Clk and Clk# crossing at both edge +* +* Disclaimer This software code and all associated documentation, comments or other +* of Warranty: information (collectively "Software") is provided "AS IS" without +* warranty of any kind. MICRON TECHNOLOGY, INC. ("MTI") EXPRESSLY +* DISCLAIMS ALL WARRANTIES EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED +* TO, NONINFRINGEMENT OF THIRD PARTY RIGHTS, AND ANY IMPLIED WARRANTIES +* OF MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. MTI DOES NOT +* WARRANT THAT THE SOFTWARE WILL MEET YOUR REQUIREMENTS, OR THAT THE +* OPERATION OF THE SOFTWARE WILL BE UNINTERRUPTED OR ERROR-FREE. +* FURTHERMORE, MTI DOES NOT MAKE ANY REPRESENTATIONS REGARDING THE USE OR +* THE RESULTS OF THE USE OF THE SOFTWARE IN TERMS OF ITS CORRECTNESS, +* ACCURACY, RELIABILITY, OR OTHERWISE. THE ENTIRE RISK ARISING OUT OF USE +* OR PERFORMANCE OF THE SOFTWARE REMAINS WITH YOU. IN NO EVENT SHALL MTI, +* ITS AFFILIATED COMPANIES OR THEIR SUPPLIERS BE LIABLE FOR ANY DIRECT, +* INDIRECT, CONSEQUENTIAL, INCIDENTAL, OR SPECIAL DAMAGES (INCLUDING, +* WITHOUT LIMITATION, DAMAGES FOR LOSS OF PROFITS, BUSINESS INTERRUPTION, +* OR LOSS OF INFORMATION) ARISING OUT OF YOUR USE OF OR INABILITY TO USE +* THE SOFTWARE, EVEN IF MTI HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH +* DAMAGES. Because some jurisdictions prohibit the exclusion or +* limitation of liability for consequential or incidental damages, the +* above limitation may not apply to you. +* +* Copyright 2003 Micron Technology, Inc. All rights reserved. +* +* Rev Author Date Changes +* --- ------ ---------- --------------------------------------- +* 2.1 SPH 03/19/2002 - Second Release +* - Fix tWR and several incompatability +* between different simulators +* 3.0 TFK 02/18/2003 - Added tDSS and tDSH timing checks. +* - Added tDQSH and tDQSL timing checks. +* 3.1 CAH 05/28/2003 - update all models to release version 3.1 +* (no changes to this model) +* 3.2 JMK 06/16/2003 - updated all DDR400 models to support CAS Latency 3 +* 3.3 JMK 09/11/2003 - Added initialization sequence checks. +* 4.0 JMK 12/01/2003 - Grouped parameters into "ddr_parameters.v" +* - Fixed tWTR check +* 4.1 JMK 01/14/2004 - Grouped specify parameters by speed grade +* - Fixed mem_sizes parameter +* 4.2 JMK 03/19/2004 - Fixed pulse width checking on Dqs +* 4.3 JMK 04/27/2004 - Changed BL wire size in tb module +* - Changed Dq_buf size to [15:0] +* 5.0 JMK 06/16/2004 - Added read to write checking. +* - Added read with precharge truncation to write checking. +* - Added associative memory array to reduce memory consumption. +* - Added checking for required DQS edges during write. +* 5.1 JMK 08/16/2004 - Fixed checking for required DQS edges during write. +* - Fixed wdqs_valid window. +* 5.2 JMK 09/24/2004 - Read or Write without activate will be ignored. +* 5.3 JMK 10/27/2004 - Added tMRD checking during Auto Refresh and Activate. +* - Added tRFC checking during Load Mode and Precharge. +* 5.4 JMK 12/13/2004 - The model will not respond to illegal command sequences. +* 5.5 SPH 01/13/2005 - The model will issue a halt on illegal command sequences. +* JMK 02/11/2005 - Changed the display format for numbers to hex. +* 5.6 JMK 04/22/2005 - Fixed Write with auto precharge calculation. +* 5.7 JMK 08/05/2005 - Changed conditions for read with precharge truncation error. +* - Renamed parameters file with .vh extension. +* 5.8 BAS 12/26/2006 - Added parameters for T46A part - 256Mb +* - Added x32 functionality +* 6.00 JMK 05/31/2007 - Added ddr_184_dimm module model +* 6.00 BAS 05/31/2007 - Updated 128Mb, 256Mb, 512Mb, and 1024Mb parameter sheets +****************************************************************************************/ + +// DO NOT CHANGE THE TIMESCALE +// MAKE SURE YOUR SIMULATOR USE "PS" RESOLUTION +`timescale 1ns / 1ps + +module ddr (Clk, Clk_n, Cke, Cs_n, Ras_n, Cas_n, We_n, Ba , Addr, Dm, Dq, Dqs); + `include "ddr_parameters.vh" + + // Port Declarations + input Clk; + input Clk_n; + input Cke; + input Cs_n; + input Ras_n; + input Cas_n; + input We_n; + input [1 : 0] Ba; + input [ADDR_BITS - 1 : 0] Addr; + input [DM_BITS - 1 : 0] Dm; + inout [DQ_BITS - 1 : 0] Dq; + inout [DQS_BITS - 1 : 0] Dqs; + + // Internal Wires (fixed width) + wire [31 : 0] Dq_in; + wire [3 : 0] Dqs_in; + wire [3 : 0] Dm_in; + + assign Dq_in [DQ_BITS - 1 : 0] = Dq; + assign Dqs_in [DQS_BITS - 1 : 0] = Dqs; + assign Dm_in [DM_BITS - 1 : 0] = Dm; + + // Data pair + reg [31 : 0] dq_rise; + reg [3 : 0] dm_rise; + reg [31 : 0] dq_fall; + reg [3 : 0] dm_fall; + reg [7 : 0] dm_pair; + reg [31 : 0] Dq_buf; + + // Mode Register + reg [ADDR_BITS - 1 : 0] Mode_reg; + + // Internal System Clock + reg CkeZ, Sys_clk; + + // Internal Dqs initialize + reg Dqs_int; + + // Dqs buffer + reg [DQS_BITS - 1 : 0] Dqs_out; + + // Dq buffer + reg [DQ_BITS - 1 : 0] Dq_out; + + // Read pipeline variables + reg Read_cmnd [0 : 6]; + reg [1 : 0] Read_bank [0 : 6]; + reg [COL_BITS - 1 : 0] Read_cols [0 : 6]; + + // Write pipeline variables + reg Write_cmnd [0 : 3]; + reg [1 : 0] Write_bank [0 : 3]; + reg [COL_BITS - 1 : 0] Write_cols [0 : 3]; + + // Auto precharge variables + reg Read_precharge [0 : 3]; + reg Write_precharge [0 : 3]; + integer Count_precharge [0 : 3]; + + // Manual precharge variables + reg A10_precharge [0 : 6]; + reg [1 : 0] Bank_precharge [0 : 6]; + reg Cmnd_precharge [0 : 6]; + + // Burst terminate variables + reg Cmnd_bst [0 : 6]; + + // Memory Banks +`ifdef FULL_MEM + reg [DQ_BITS - 1 : 0] mem_array [0 : (1<= 2) begin + if (DEBUG) $display ("%m: at time %t MEMORY: Power Up and Initialization Sequence is complete", $time); + power_up_done = 1; + end else begin + aref_count = 0; + @ (aref_count >= 2) begin + if (DEBUG) $display ("%m: at time %t MEMORY: Power Up and Initialization Sequence is complete", $time); + power_up_done = 1; + end + end + end + end + end + end + end + + // Write Memory + task write_mem; + input [full_mem_bits - 1 : 0] addr; + input [DQ_BITS - 1 : 0] data; + reg [part_mem_bits : 0] i; + begin +`ifdef FULL_MEM + mem_array[addr] = data; +`else + begin : loop + for (i = 0; i < mem_used; i = i + 1) begin + if (addr_array[i] === addr) begin + disable loop; + end + end + end + if (i === mem_used) begin + if (i === (1<= burst_length) begin + Data_in_enable = 1'b0; + Data_out_enable = 1'b0; + read_precharge_truncation = 4'h0; + end + + end + endtask + + // Manual Precharge Pipeline + task Manual_Precharge_Pipeline; + begin + // A10 Precharge Pipeline + A10_precharge[0] = A10_precharge[1]; + A10_precharge[1] = A10_precharge[2]; + A10_precharge[2] = A10_precharge[3]; + A10_precharge[3] = A10_precharge[4]; + A10_precharge[4] = A10_precharge[5]; + A10_precharge[5] = A10_precharge[6]; + A10_precharge[6] = 1'b0; + + // Bank Precharge Pipeline + Bank_precharge[0] = Bank_precharge[1]; + Bank_precharge[1] = Bank_precharge[2]; + Bank_precharge[2] = Bank_precharge[3]; + Bank_precharge[3] = Bank_precharge[4]; + Bank_precharge[4] = Bank_precharge[5]; + Bank_precharge[5] = Bank_precharge[6]; + Bank_precharge[6] = 2'b0; + + // Command Precharge Pipeline + Cmnd_precharge[0] = Cmnd_precharge[1]; + Cmnd_precharge[1] = Cmnd_precharge[2]; + Cmnd_precharge[2] = Cmnd_precharge[3]; + Cmnd_precharge[3] = Cmnd_precharge[4]; + Cmnd_precharge[4] = Cmnd_precharge[5]; + Cmnd_precharge[5] = Cmnd_precharge[6]; + Cmnd_precharge[6] = 1'b0; + + // Terminate a Read if same bank or all banks + if (Cmnd_precharge[0] === 1'b1) begin + if (Bank_precharge[0] === Bank_addr || A10_precharge[0] === 1'b1) begin + if (Data_out_enable === 1'b1) begin + Data_out_enable = 1'b0; + read_precharge_truncation = 4'hF; + end + end + end + end + endtask + + // Burst Terminate Pipeline + task Burst_Terminate_Pipeline; + begin + // Command Precharge Pipeline + Cmnd_bst[0] = Cmnd_bst[1]; + Cmnd_bst[1] = Cmnd_bst[2]; + Cmnd_bst[2] = Cmnd_bst[3]; + Cmnd_bst[3] = Cmnd_bst[4]; + Cmnd_bst[4] = Cmnd_bst[5]; + Cmnd_bst[5] = Cmnd_bst[6]; + Cmnd_bst[6] = 1'b0; + + // Terminate a Read regardless of banks + if (Cmnd_bst[0] === 1'b1 && Data_out_enable === 1'b1) begin + Data_out_enable = 1'b0; + end + end + endtask + + // Dq and Dqs Drivers + task Dq_Dqs_Drivers; + begin + // read command pipeline + Read_cmnd [0] = Read_cmnd [1]; + Read_cmnd [1] = Read_cmnd [2]; + Read_cmnd [2] = Read_cmnd [3]; + Read_cmnd [3] = Read_cmnd [4]; + Read_cmnd [4] = Read_cmnd [5]; + Read_cmnd [5] = Read_cmnd [6]; + Read_cmnd [6] = 1'b0; + + // read bank pipeline + Read_bank [0] = Read_bank [1]; + Read_bank [1] = Read_bank [2]; + Read_bank [2] = Read_bank [3]; + Read_bank [3] = Read_bank [4]; + Read_bank [4] = Read_bank [5]; + Read_bank [5] = Read_bank [6]; + Read_bank [6] = 2'b0; + + // read column pipeline + Read_cols [0] = Read_cols [1]; + Read_cols [1] = Read_cols [2]; + Read_cols [2] = Read_cols [3]; + Read_cols [3] = Read_cols [4]; + Read_cols [4] = Read_cols [5]; + Read_cols [5] = Read_cols [6]; + Read_cols [6] = 0; + + // Initialize Read command + if (Read_cmnd [0] === 1'b1) begin + Data_out_enable = 1'b1; + Bank_addr = Read_bank [0]; + Cols_addr = Read_cols [0]; + Cols_brst = Cols_addr [2 : 0]; + Burst_counter = 0; + + // Row Address Mux + case (Bank_addr) + 2'd0 : Rows_addr = B0_row_addr; + 2'd1 : Rows_addr = B1_row_addr; + 2'd2 : Rows_addr = B2_row_addr; + 2'd3 : Rows_addr = B3_row_addr; + default : $display ("At time %t ERROR: Invalid Bank Address", $time); + endcase + end + + // Toggle Dqs during Read command + if (Data_out_enable === 1'b1) begin + Dqs_int = 1'b0; + if (Dqs_out === {DQS_BITS{1'b0}}) begin + Dqs_out = {DQS_BITS{1'b1}}; + end else if (Dqs_out === {DQS_BITS{1'b1}}) begin + Dqs_out = {DQS_BITS{1'b0}}; + end else begin + Dqs_out = {DQS_BITS{1'b0}}; + end + end else if (Data_out_enable === 1'b0 && Dqs_int === 1'b0) begin + Dqs_out = {DQS_BITS{1'bz}}; + end + + // Initialize dqs for Read command + if (Read_cmnd [2] === 1'b1) begin + if (Data_out_enable === 1'b0) begin + Dqs_int = 1'b1; + Dqs_out = {DQS_BITS{1'b0}}; + end + end + + // Read latch + if (Data_out_enable === 1'b1) begin + // output data + read_mem({Bank_addr, Rows_addr, Cols_addr}, Dq_out); + if (DEBUG) begin + $display ("At time %t READ : Bank = %h, Row = %h, Col = %h, Data = %h", $time, Bank_addr, Rows_addr, Cols_addr, Dq_out); + end + end else begin + Dq_out = {DQ_BITS{1'bz}}; + end + end + endtask + + // Write FIFO and DM Mask Logic + task Write_FIFO_DM_Mask_Logic; + begin + // Write command pipeline + Write_cmnd [0] = Write_cmnd [1]; + Write_cmnd [1] = Write_cmnd [2]; + Write_cmnd [2] = Write_cmnd [3]; + Write_cmnd [3] = 1'b0; + + // Write command pipeline + Write_bank [0] = Write_bank [1]; + Write_bank [1] = Write_bank [2]; + Write_bank [2] = Write_bank [3]; + Write_bank [3] = 2'b0; + + // Write column pipeline + Write_cols [0] = Write_cols [1]; + Write_cols [1] = Write_cols [2]; + Write_cols [2] = Write_cols [3]; + Write_cols [3] = {COL_BITS{1'b0}}; + + // Initialize Write command + if (Write_cmnd [0] === 1'b1) begin + Data_in_enable = 1'b1; + Bank_addr = Write_bank [0]; + Cols_addr = Write_cols [0]; + Cols_brst = Cols_addr [2 : 0]; + Burst_counter = 0; + + // Row address mux + case (Bank_addr) + 2'd0 : Rows_addr = B0_row_addr; + 2'd1 : Rows_addr = B1_row_addr; + 2'd2 : Rows_addr = B2_row_addr; + 2'd3 : Rows_addr = B3_row_addr; + default : $display ("At time %t ERROR: Invalid Row Address", $time); + endcase + end + + // Write data + if (Data_in_enable === 1'b1) begin + + // Data Buffer + read_mem({Bank_addr, Rows_addr, Cols_addr}, Dq_buf); + + // write negedge Dqs on posedge Sys_clk + if (Sys_clk) begin + if (!dm_fall[0]) begin + Dq_buf [ 7 : 0] = dq_fall [ 7 : 0]; + end + if (!dm_fall[1]) begin + Dq_buf [15 : 8] = dq_fall [15 : 8]; + end + if (!dm_fall[2]) begin + Dq_buf [23 : 16] = dq_fall [23 : 16]; + end + if (!dm_fall[3]) begin + Dq_buf [31 : 24] = dq_fall [31 : 24]; + end + if (~&dm_fall) begin + if (DEBUG) begin + $display ("At time %t WRITE: Bank = %h, Row = %h, Col = %h, Data = %h", $time, Bank_addr, Rows_addr, Cols_addr, Dq_buf[DQ_BITS-1:0]); + end + end + // write posedge Dqs on negedge Sys_clk + end else begin + if (!dm_rise[0]) begin + Dq_buf [ 7 : 0] = dq_rise [ 7 : 0]; + end + if (!dm_rise[1]) begin + Dq_buf [15 : 8] = dq_rise [15 : 8]; + end + if (!dm_rise[2]) begin + Dq_buf [23 : 16] = dq_rise [23 : 16]; + end + if (!dm_rise[3]) begin + Dq_buf [31 : 24] = dq_rise [31 : 24]; + end + if (~&dm_rise) begin + if (DEBUG) begin + $display ("At time %t WRITE: Bank = %h, Row = %h, Col = %h, Data = %h", $time, Bank_addr, Rows_addr, Cols_addr, Dq_buf[DQ_BITS-1:0]); + end + end + end + + // Write Data + write_mem({Bank_addr, Rows_addr, Cols_addr}, Dq_buf); + + // tWR start and tWTR check + if (Sys_clk && &dm_pair === 1'b0) begin + case (Bank_addr) + 2'd0 : WR_chk0 = $time; + 2'd1 : WR_chk1 = $time; + 2'd2 : WR_chk2 = $time; + 2'd3 : WR_chk3 = $time; + default : $display ("At time %t ERROR: Invalid Bank Address (tWR)", $time); + endcase + + // tWTR check + if (Read_enable === 1'b1) begin + $display ("At time %t ERROR: tWTR violation during Read", $time); + end + end + end + end + endtask + + // Auto Precharge Calculation + task Auto_Precharge_Calculation; + begin + // Precharge counter + if (Read_precharge [0] === 1'b1 || Write_precharge [0] === 1'b1) begin + Count_precharge [0] = Count_precharge [0] + 1; + end + if (Read_precharge [1] === 1'b1 || Write_precharge [1] === 1'b1) begin + Count_precharge [1] = Count_precharge [1] + 1; + end + if (Read_precharge [2] === 1'b1 || Write_precharge [2] === 1'b1) begin + Count_precharge [2] = Count_precharge [2] + 1; + end + if (Read_precharge [3] === 1'b1 || Write_precharge [3] === 1'b1) begin + Count_precharge [3] = Count_precharge [3] + 1; + end + + // Read with AutoPrecharge Calculation + // The device start internal precharge when: + // 1. Meet tRAS requirement + // 2. BL/2 cycles after command + if ((Read_precharge[0] === 1'b1) && ($time - RAS_chk0 >= tRAS)) begin + if (Count_precharge[0] >= burst_length/2) begin + Pc_b0 = 1'b1; + Act_b0 = 1'b0; + RP_chk0 = $time; + Read_precharge[0] = 1'b0; + end + end + if ((Read_precharge[1] === 1'b1) && ($time - RAS_chk1 >= tRAS)) begin + if (Count_precharge[1] >= burst_length/2) begin + Pc_b1 = 1'b1; + Act_b1 = 1'b0; + RP_chk1 = $time; + Read_precharge[1] = 1'b0; + end + end + if ((Read_precharge[2] === 1'b1) && ($time - RAS_chk2 >= tRAS)) begin + if (Count_precharge[2] >= burst_length/2) begin + Pc_b2 = 1'b1; + Act_b2 = 1'b0; + RP_chk2 = $time; + Read_precharge[2] = 1'b0; + end + end + if ((Read_precharge[3] === 1'b1) && ($time - RAS_chk3 >= tRAS)) begin + if (Count_precharge[3] >= burst_length/2) begin + Pc_b3 = 1'b1; + Act_b3 = 1'b0; + RP_chk3 = $time; + Read_precharge[3] = 1'b0; + end + end + + // Write with AutoPrecharge Calculation + // The device start internal precharge when: + // 1. Meet tRAS requirement + // 2. Write Latency PLUS BL/2 cycles PLUS tWR after Write command + + if ((Write_precharge[0] === 1'b1) && ($time - RAS_chk0 >= tRAS)) begin + if ((Count_precharge[0] >= burst_length/2+1) && ($time - WR_chk0 >= tWR)) begin + Pc_b0 = 1'b1; + Act_b0 = 1'b0; + RP_chk0 = $time; + Write_precharge[0] = 1'b0; + end + end + if ((Write_precharge[1] === 1'b1) && ($time - RAS_chk1 >= tRAS)) begin + if ((Count_precharge[1] >= burst_length/2+1) && ($time - WR_chk1 >= tWR)) begin + Pc_b1 = 1'b1; + Act_b1 = 1'b0; + RP_chk1 = $time; + Write_precharge[1] = 1'b0; + end + end + if ((Write_precharge[2] === 1'b1) && ($time - RAS_chk2 >= tRAS)) begin + if ((Count_precharge[2] >= burst_length/2+1) && ($time - WR_chk2 >= tWR)) begin + Pc_b2 = 1'b1; + Act_b2 = 1'b0; + RP_chk2 = $time; + Write_precharge[2] = 1'b0; + end + end + if ((Write_precharge[3] === 1'b1) && ($time - RAS_chk3 >= tRAS)) begin + if ((Count_precharge[3] >= burst_length/2+1) && ($time - WR_chk3 >= tWR)) begin + Pc_b3 = 1'b1; + Act_b3 = 1'b0; + RP_chk3 = $time; + Write_precharge[3] = 1'b0; + end + end + end + endtask + + // DLL Counter + task DLL_Counter; + begin + if (DLL_reset === 1'b1 && DLL_done === 1'b0) begin + DLL_count = DLL_count + 1; + if (DLL_count >= 200) begin + DLL_done = 1'b1; + end + end + end + endtask + + // Control Logic + task Control_Logic; + begin + // Auto Refresh + if (Aref_enable === 1'b1) begin + // Display DEBUG Message + if (DEBUG) begin + $display ("At time %t AREF : Auto Refresh", $time); + end + + // Precharge to Auto Refresh + if (($time - RP_chk0 < tRP) || ($time - RP_chk1 < tRP) || + ($time - RP_chk2 < tRP) || ($time - RP_chk3 < tRP)) begin + $display ("At time %t ERROR: tRP violation during Auto Refresh", $time); + end + + // LMR/EMR to Auto Refresh + if ($time - MRD_chk < tMRD) begin + $display ("At time %t ERROR: tMRD violation during Auto Refresh", $time); + end + + // Auto Refresh to Auto Refresh + if ($time - RFC_chk < tRFC) begin + $display ("At time %t ERROR: tRFC violation during Auto Refresh", $time); + end + + // Precharge to Auto Refresh + if (Pc_b0 === 1'b0 || Pc_b1 === 1'b0 || Pc_b2 === 1'b0 || Pc_b3 === 1'b0) begin + $display ("At time %t ERROR: All banks must be Precharged before Auto Refresh", $time); + if (!no_halt) $stop (0); + end else begin + aref_count = aref_count + 1; + RFC_chk = $time; + end + end + + // Extended Mode Register + if (Ext_mode_enable === 1'b1) begin + if (DEBUG) begin + $display ("At time %t EMR : Extended Mode Register", $time); + end + + // Precharge to LMR/EMR + if (($time - RP_chk0 < tRP) || ($time - RP_chk1 < tRP) || + ($time - RP_chk2 < tRP) || ($time - RP_chk3 < tRP)) begin + $display ("At time %t ERROR: tRP violation during Extended Mode Register", $time); + end + + // LMR/EMR to LMR/EMR + if ($time - MRD_chk < tMRD) begin + $display ("At time %t ERROR: tMRD violation during Extended Mode Register", $time); + end + + // Auto Refresh to LMR/EMR + if ($time - RFC_chk < tRFC) begin + $display ("At time %t ERROR: tRFC violation during Extended Mode Register", $time); + end + + // Precharge to LMR/EMR + if (Pc_b0 === 1'b0 || Pc_b1 === 1'b0 || Pc_b2 === 1'b0 || Pc_b3 === 1'b0) begin + $display ("At time %t ERROR: all banks must be Precharged before Extended Mode Register", $time); + if (!no_halt) $stop (0); + end else begin + if (Addr[0] === 1'b0) begin + DLL_enable = 1'b1; + if (DEBUG) begin + $display ("At time %t EMR : Enable DLL", $time); + end + end else begin + DLL_enable = 1'b0; + if (DEBUG) begin + $display ("At time %t EMR : Disable DLL", $time); + end + end + MRD_chk = $time; + end + end + + // Load Mode Register + if (Mode_reg_enable === 1'b1) begin + if (DEBUG) begin + $display ("At time %t LMR : Load Mode Register", $time); + end + + // Precharge to LMR/EMR + if (($time - RP_chk0 < tRP) || ($time - RP_chk1 < tRP) || + ($time - RP_chk2 < tRP) || ($time - RP_chk3 < tRP)) begin + $display ("At time %t ERROR: tRP violation during Load Mode Register", $time); + end + + // LMR/EMR to LMR/EMR + if ($time - MRD_chk < tMRD) begin + $display ("At time %t ERROR: tMRD violation during Load Mode Register", $time); + end + + // Auto Refresh to LMR/EMR + if ($time - RFC_chk < tRFC) begin + $display ("At time %t ERROR: tRFC violation during Load Mode Register", $time); + end + + // Precharge to LMR/EMR + if (Pc_b0 === 1'b0 || Pc_b1 === 1'b0 || Pc_b2 === 1'b0 || Pc_b3 === 1'b0) begin + $display ("At time %t ERROR: all banks must be Precharged before Load Mode Register", $time); + end else begin + // Register Mode + Mode_reg = Addr; + + // DLL Reset + if (DLL_enable === 1'b1 && Addr [8] === 1'b1) begin + DLL_reset = 1'b1; + DLL_done = 1'b0; + DLL_count = 0; + end else if (DLL_enable === 1'b1 && DLL_reset === 1'b0 && Addr [8] === 1'b0) begin + $display ("At time %t ERROR: DLL is ENABLE: DLL RESET is required.", $time); + end else if (DLL_enable === 1'b0 && Addr [8] === 1'b1) begin + $display ("At time %t ERROR: DLL is DISABLE: DLL RESET will be ignored.", $time); + end + + // Burst Length + case (Addr [2 : 0]) + 3'b001 : $display ("At time %t LMR : Burst Length = 2", $time); + 3'b010 : $display ("At time %t LMR : Burst Length = 4", $time); + 3'b011 : $display ("At time %t LMR : Burst Length = 8", $time); + default : $display ("At time %t ERROR: Burst Length not supported", $time); + endcase + + // CAS Latency + case (Addr [6 : 4]) + 3'b010 : $display ("At time %t LMR : CAS Latency = 2", $time); + 3'b110 : $display ("At time %t LMR : CAS Latency = 2.5", $time); + 3'b011 : $display ("At time %t LMR : CAS Latency = 3", $time); + default : $display ("At time %t ERROR: CAS Latency not supported", $time); + endcase + + // Record current tMRD time + MRD_chk = $time; + end + end + + // Activate Block + if (Active_enable === 1'b1) begin + if (!(power_up_done)) begin + $display ("%m: at time %t ERROR: Power Up and Initialization Sequence not completed before executing Activate command", $time); + end + // Display DEBUG Message + if (DEBUG) begin + $display ("At time %t ACT : Bank = %h, Row = %h", $time, Ba, Addr); + end + + // Activate to Activate (different bank) + if ((Prev_bank != Ba) && ($time - RRD_chk < tRRD)) begin + $display ("At time %t ERROR: tRRD violation during Activate bank %h", $time, Ba); + end + + // LMR/EMR to Activate + if ($time - MRD_chk < tMRD) begin + $display ("At time %t ERROR: tMRD violation during Activate bank %h", $time, Ba); + end + + // AutoRefresh to Activate + if ($time - RFC_chk < tRFC) begin + $display ("At time %t ERROR: tRFC violation during Activate bank %h", $time, Ba); + end + + // Precharge to Activate + if ((Ba === 2'b00 && Pc_b0 === 1'b0) || (Ba === 2'b01 && Pc_b1 === 1'b0) || + (Ba === 2'b10 && Pc_b2 === 1'b0) || (Ba === 2'b11 && Pc_b3 === 1'b0)) begin + $display ("At time %t ERROR: Bank = %h is already activated - Command Ignored", $time, Ba); + if (!no_halt) $stop (0); + end else begin + // Activate Bank 0 + if (Ba === 2'b00 && Pc_b0 === 1'b1) begin + // Activate to Activate (same bank) + if ($time - RC_chk0 < tRC) begin + $display ("At time %t ERROR: tRC violation during Activate bank %h", $time, Ba); + end + + // Precharge to Activate + if ($time - RP_chk0 < tRP) begin + $display ("At time %t ERROR: tRP violation during Activate bank %h", $time, Ba); + end + + // Record variables for checking violation + Act_b0 = 1'b1; + Pc_b0 = 1'b0; + B0_row_addr = Addr; + RC_chk0 = $time; + RCD_chk0 = $time; + RAS_chk0 = $time; + RAP_chk0 = $time; + end + + // Activate Bank 1 + if (Ba === 2'b01 && Pc_b1 === 1'b1) begin + // Activate to Activate (same bank) + if ($time - RC_chk1 < tRC) begin + $display ("At time %t ERROR: tRC violation during Activate bank %h", $time, Ba); + end + + // Precharge to Activate + if ($time - RP_chk1 < tRP) begin + $display ("At time %t ERROR: tRP violation during Activate bank %h", $time, Ba); + end + + // Record variables for checking violation + Act_b1 = 1'b1; + Pc_b1 = 1'b0; + B1_row_addr = Addr; + RC_chk1 = $time; + RCD_chk1 = $time; + RAS_chk1 = $time; + RAP_chk1 = $time; + end + + // Activate Bank 2 + if (Ba === 2'b10 && Pc_b2 === 1'b1) begin + // Activate to Activate (same bank) + if ($time - RC_chk2 < tRC) begin + $display ("At time %t ERROR: tRC violation during Activate bank %h", $time, Ba); + end + + // Precharge to Activate + if ($time - RP_chk2 < tRP) begin + $display ("At time %t ERROR: tRP violation during Activate bank %h", $time, Ba); + end + + // Record variables for checking violation + Act_b2 = 1'b1; + Pc_b2 = 1'b0; + B2_row_addr = Addr; + RC_chk2 = $time; + RCD_chk2 = $time; + RAS_chk2 = $time; + RAP_chk2 = $time; + end + + // Activate Bank 3 + if (Ba === 2'b11 && Pc_b3 === 1'b1) begin + // Activate to Activate (same bank) + if ($time - RC_chk3 < tRC) begin + $display ("At time %t ERROR: tRC violation during Activate bank %h", $time, Ba); + end + + // Precharge to Activate + if ($time - RP_chk3 < tRP) begin + $display ("At time %t ERROR: tRP violation during Activate bank %h", $time, Ba); + end + + // Record variables for checking violation + Act_b3 = 1'b1; + Pc_b3 = 1'b0; + B3_row_addr = Addr; + RC_chk3 = $time; + RCD_chk3 = $time; + RAS_chk3 = $time; + RAP_chk3 = $time; + end + // Record variable for checking violation + RRD_chk = $time; + Prev_bank = Ba; + read_precharge_truncation[Ba] = 1'b0; + end + end + + // Precharge Block - consider NOP if bank already precharged or in process of precharging + if (Prech_enable === 1'b1) begin + // Display DEBUG Message + if (DEBUG) begin + $display ("At time %t PRE : Addr[10] = %b, Bank = %b", $time, Addr[10], Ba); + end + + // LMR/EMR to Precharge + if ($time - MRD_chk < tMRD) begin + $display ("At time %t ERROR: tMRD violation during Precharge", $time); + end + + // AutoRefresh to Precharge + if ($time - RFC_chk < tRFC) begin + $display ("At time %t ERROR: tRFC violation during Precharge", $time); + end + + // Precharge bank 0 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b00)) && Act_b0 === 1'b1) begin + Act_b0 = 1'b0; + Pc_b0 = 1'b1; + RP_chk0 = $time; + + // Activate to Precharge Bank + if ($time - RAS_chk0 < tRAS) begin + $display ("At time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for Write + if ($time - WR_chk0 < tWR) begin + $display ("At time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge bank 1 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b01)) && Act_b1 === 1'b1) begin + Act_b1 = 1'b0; + Pc_b1 = 1'b1; + RP_chk1 = $time; + + // Activate to Precharge Bank 1 + if ($time - RAS_chk1 < tRAS) begin + $display ("At time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for Write + if ($time - WR_chk1 < tWR) begin + $display ("At time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge bank 2 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b10)) && Act_b2 === 1'b1) begin + Act_b2 = 1'b0; + Pc_b2 = 1'b1; + RP_chk2 = $time; + + // Activate to Precharge Bank 2 + if ($time - RAS_chk2 < tRAS) begin + $display ("At time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for Write + if ($time - WR_chk2 < tWR) begin + $display ("At time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Precharge bank 3 + if ((Addr[10] === 1'b1 || (Addr[10] === 1'b0 && Ba === 2'b11)) && Act_b3 === 1'b1) begin + Act_b3 = 1'b0; + Pc_b3 = 1'b1; + RP_chk3 = $time; + + // Activate to Precharge Bank 3 + if ($time - RAS_chk3 < tRAS) begin + $display ("At time %t ERROR: tRAS violation during Precharge", $time); + end + + // tWR violation check for Write + if ($time - WR_chk3 < tWR) begin + $display ("At time %t ERROR: tWR violation during Precharge", $time); + end + end + + // Prech_count is to make sure we have met part of the initialization sequence + Prech_count = Prech_count + 1; + + // Pipeline for READ + A10_precharge [cas_latency_x2] = Addr[10]; + Bank_precharge[cas_latency_x2] = Ba; + Cmnd_precharge[cas_latency_x2] = 1'b1; + end + + // Burst terminate + if (Burst_term === 1'b1) begin + // Display DEBUG Message + if (DEBUG) begin + $display ("At time %t BST : Burst Terminate",$time); + end + + if (Data_in_enable === 1'b1) begin + // Illegal to burst terminate a Write + $display ("At time %t ERROR: It's illegal to burst terminate a Write", $time); + if (!no_halt) $stop (0); + end else if (Read_precharge[0] === 1'b1 || Read_precharge[1] === 1'b1 || + // Illegal to burst terminate a Read with Auto Precharge + Read_precharge[2] === 1'b1 || Read_precharge[3] === 1'b1) begin + $display ("At time %t ERROR: It's illegal to burst terminate a Read with Auto Precharge", $time); + if (!no_halt) $stop (0); + end else begin + // Burst Terminate Command Pipeline for Read + Cmnd_bst[cas_latency_x2] = 1'b1; + end + + end + + // Read Command + if (Read_enable === 1'b1) begin + if (!(power_up_done)) begin + $display ("%m: at time %t ERROR: Power Up and Initialization Sequence not completed before executing Read Command", $time); + end + // Check for DLL reset before Read + if (DLL_reset === 1 && DLL_done === 0) begin + $display ("%m: at time %t ERROR: You need to wait 200 tCK after DLL Reset Enable to Read, Not %0d clocks.", $time, DLL_count); + end + // Display DEBUG Message + if (DEBUG) begin + $display ("At time %t READ : Bank = %h, Col = %h", $time, Ba, {Addr [11], Addr [9 : 0]}); + end + + // Terminate a Write + if (Data_in_enable === 1'b1) begin + Data_in_enable = 1'b0; + end + + // Activate to Read without Auto Precharge + if ((Addr [10] === 1'b0 && Ba === 2'b00 && $time - RCD_chk0 < tRCD) || + (Addr [10] === 1'b0 && Ba === 2'b01 && $time - RCD_chk1 < tRCD) || + (Addr [10] === 1'b0 && Ba === 2'b10 && $time - RCD_chk2 < tRCD) || + (Addr [10] === 1'b0 && Ba === 2'b11 && $time - RCD_chk3 < tRCD)) begin + $display("At time %t ERROR: tRCD violation during Read", $time); + end + + // Activate to Read with Auto Precharge + if ((Addr [10] === 1'b1 && Ba === 2'b00 && $time - RAP_chk0 < tRAP) || + (Addr [10] === 1'b1 && Ba === 2'b01 && $time - RAP_chk1 < tRAP) || + (Addr [10] === 1'b1 && Ba === 2'b10 && $time - RAP_chk2 < tRAP) || + (Addr [10] === 1'b1 && Ba === 2'b11 && $time - RAP_chk3 < tRAP)) begin + $display ("At time %t ERROR: tRAP violation during Read", $time); + end + + // Interrupt a Read with Auto Precharge (same bank only) + if (Read_precharge [Ba] === 1'b1) begin + $display ("At time %t ERROR: It's illegal to interrupt a Read with Auto Precharge", $time); + if (!no_halt) $stop (0); + // Cancel Auto Precharge + if (Addr[10] === 1'b0) begin + Read_precharge [Ba]= 1'b0; + end + end + // Activate to Read + if ((Ba === 2'b00 && Pc_b0 === 1'b1) || (Ba === 2'b01 && Pc_b1 === 1'b1) || + (Ba === 2'b10 && Pc_b2 === 1'b1) || (Ba === 2'b11 && Pc_b3 === 1'b1)) begin + $display("At time %t ERROR: Bank is not Activated for Read", $time); + if (!no_halt) $stop (0); + end else begin + // CAS Latency pipeline + Read_cmnd[cas_latency_x2] = 1'b1; + Read_bank[cas_latency_x2] = Ba; + Read_cols[cas_latency_x2] = {Addr [ADDR_BITS - 1 : 11], Addr [9 : 0]}; + // Auto Precharge + if (Addr[10] === 1'b1) begin + Read_precharge [Ba]= 1'b1; + Count_precharge [Ba]= 0; + end + end + end + + // Write Command + if (Write_enable === 1'b1) begin + if (!(power_up_done)) begin + $display ("%m: at time %t ERROR: Power Up and Initialization Sequence not completed before executing Write Command", $time); + if (!no_halt) $stop (0); + end + // display DEBUG message + if (DEBUG) begin + $display ("At time %t WRITE: Bank = %h, Col = %h", $time, Ba, {Addr [ADDR_BITS - 1 : 11], Addr [9 : 0]}); + end + + // Activate to Write + if ((Ba === 2'b00 && $time - RCD_chk0 < tRCD) || + (Ba === 2'b01 && $time - RCD_chk1 < tRCD) || + (Ba === 2'b10 && $time - RCD_chk2 < tRCD) || + (Ba === 2'b11 && $time - RCD_chk3 < tRCD)) begin + $display("At time %t ERROR: tRCD violation during Write to Bank %h", $time, Ba); + end + + // Read to Write + if (Read_cmnd[0] || Read_cmnd[1] || Read_cmnd[2] || Read_cmnd[3] || + Read_cmnd[4] || Read_cmnd[5] || Read_cmnd[6] || (Burst_counter < burst_length)) begin + if (Data_out_enable || read_precharge_truncation[Ba]) begin + $display("At time %t ERROR: Read to Write violation", $time); + end + end + + // Interrupt a Write with Auto Precharge (same bank only) + if (Write_precharge [Ba] === 1'b1) begin + $display ("At time %t ERROR: it's illegal to interrupt a Write with Auto Precharge", $time); + if (!no_halt) $stop (0); + // Cancel Auto Precharge + if (Addr[10] === 1'b0) begin + Write_precharge [Ba]= 1'b0; + end + end + // Activate to Write + if ((Ba === 2'b00 && Pc_b0 === 1'b1) || (Ba === 2'b01 && Pc_b1 === 1'b1) || + (Ba === 2'b10 && Pc_b2 === 1'b1) || (Ba === 2'b11 && Pc_b3 === 1'b1)) begin + $display("At time %t ERROR: Bank is not Activated for Write", $time); + if (!no_halt) $stop (0); + end else begin + // Pipeline for Write + Write_cmnd [3] = 1'b1; + Write_bank [3] = Ba; + Write_cols [3] = {Addr [ADDR_BITS - 1 : 11], Addr [9 : 0]}; + // Auto Precharge + if (Addr[10] === 1'b1) begin + Write_precharge [Ba]= 1'b1; + Count_precharge [Ba]= 0; + end + end + end + end + endtask + + task check_neg_dqs; + begin + if (Write_cmnd[2] || Write_cmnd[1] || Data_in_enable) begin + for (i=0; i