From 728ba144e2c9e2e011b94e4d08a159b35070086f Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Mon, 22 Feb 2021 14:17:59 +0800 Subject: [PATCH] first commit --- FPGA/foc.qpf | 30 + FPGA/foc.qsf | 92 + README.md | 150 ++ RTL/foc/cartesian2polar.sv | 4187 ++++++++++++++++++++++++++++++ RTL/foc/clark_tr.sv | 61 + RTL/foc/foc_top.sv | 272 ++ RTL/foc/hold_detect.sv | 40 + RTL/foc/park_tr.sv | 53 + RTL/foc/pi_controller.sv | 102 + RTL/foc/sincos.sv | 1118 ++++++++ RTL/foc/svpwm.sv | 1174 +++++++++ RTL/pll.v | 120 + RTL/sensors/adc_ad7928.sv | 135 + RTL/sensors/as5600_read.sv | 35 + RTL/sensors/i2c_register_read.sv | 138 + RTL/top.sv | 164 ++ RTL/uart/itoa.sv | 52 + RTL/uart/uart_monitor.sv | 131 + RTL/uart/uart_tx.sv | 47 + diagram.png | Bin 0 -> 33329 bytes wave.png | Bin 0 -> 19175 bytes 21 files changed, 8101 insertions(+) create mode 100644 FPGA/foc.qpf create mode 100644 FPGA/foc.qsf create mode 100644 README.md create mode 100644 RTL/foc/cartesian2polar.sv create mode 100644 RTL/foc/clark_tr.sv create mode 100644 RTL/foc/foc_top.sv create mode 100644 RTL/foc/hold_detect.sv create mode 100644 RTL/foc/park_tr.sv create mode 100644 RTL/foc/pi_controller.sv create mode 100644 RTL/foc/sincos.sv create mode 100644 RTL/foc/svpwm.sv create mode 100644 RTL/pll.v create mode 100644 RTL/sensors/adc_ad7928.sv create mode 100644 RTL/sensors/as5600_read.sv create mode 100644 RTL/sensors/i2c_register_read.sv create mode 100644 RTL/top.sv create mode 100644 RTL/uart/itoa.sv create mode 100644 RTL/uart/uart_monitor.sv create mode 100644 RTL/uart/uart_tx.sv create mode 100644 diagram.png create mode 100644 wave.png diff --git a/FPGA/foc.qpf b/FPGA/foc.qpf new file mode 100644 index 0000000..9794919 --- /dev/null +++ b/FPGA/foc.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 17:37:36 February 05, 2021 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.1" +DATE = "17:37:36 February 05, 2021" + +# Revisions + +PROJECT_REVISION = "foc" diff --git a/FPGA/foc.qsf b/FPGA/foc.qsf new file mode 100644 index 0000000..2b62b76 --- /dev/null +++ b/FPGA/foc.qsf @@ -0,0 +1,92 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.1.0 Build 162 10/23/2013 SJ Full Version +# Date created = 17:37:36 February 05, 2021 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# foc_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_location_assignment PIN_128 -to clk_50m + + +set_location_assignment PIN_142 -to pwm_en +set_location_assignment PIN_143 -to pwm_a +set_location_assignment PIN_137 -to pwm_b +set_location_assignment PIN_136 -to pwm_c + + +set_location_assignment PIN_144 -to spi_ss +set_location_assignment PIN_7 -to spi_mosi +set_location_assignment PIN_10 -to spi_miso +set_location_assignment PIN_11 -to spi_sck + + +set_location_assignment PIN_125 -to i2c_scl +set_location_assignment PIN_121 -to i2c_sda + + +set_location_assignment PIN_105 -to uart_tx + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE15E22I7 +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:37:36 FEBRUARY 05, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/top.sv +set_global_assignment -name VERILOG_FILE ../RTL/pll.v +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_monitor.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_tx.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/itoa.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/sensors/as5600_read.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/sensors/i2c_register_read.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/sensors/adc_ad7928.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/foc_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/clark_tr.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/park_tr.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/sincos.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/pi_controller.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/cartesian2polar.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/svpwm.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/foc/hold_detect.sv +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/README.md b/README.md new file mode 100644 index 0000000..919d1a1 --- /dev/null +++ b/README.md @@ -0,0 +1,150 @@ +![test](https://img.shields.io/badge/test-passing-green.svg) +![docs](https://img.shields.io/badge/docs-passing-green.svg) + +FpOC +=========================== +基于 **FPGA** 的**磁场定向控制 (FOC)**,用于驱动**永磁同步电机 (PMSM)** + +# 简介 + +**FOC控制算法**对**传感器采样速率**和**处理器算力**提出了一定的要求,使用 **FPGA** 实现的 **FOC** 可以获得更好的**实时性**和零**延迟抖动**,并且更方便进行**多路扩展**。 + +本库实现了基于**角度传感器**(例如磁编码器)的**有感 FOC**(一个完整的**电流反馈环**),可以进行**扭矩控制**。借助本库,可以进一步使用 **FPGA** 、**软核 MCU** 或**外置 MCU** 实现更复杂的电机应用。 + +| ![diagram](https://github.com/WangXuan95/FpOC/blob/main/diagram.png) | +| :---: | +| 图1:系统框图 | + +该项目代码有**详细的注释**,结合其它科普资料(见[6][8][9]),可以用来快速地熟悉 **FOC** 。 + +## 特点 + +* **平台无关** :纯 RTL 编写,可以在 Altera 和 Xilinx 等各种 FPGA 上运行。 +* 支持 **12bit 分辨率**的**角度传感器**和**相电流采样ADC**,对于>12bit的传感器,需要进行低位截断。对于<12bit的传感器,需要进行低位补0。 +* 支持 **3路PWM** + **1路EN** :PWM=1 时上半桥导通,PWM=0 时下半桥导通。 EN=0 时所有 MOS 关断。 +* 使用 **16bit 有符号整数**进行计算,降低了资源消耗,考虑到传感器为 12bit,16bit 计算是够用的。 + +# 运行示例 + +**图1** 是本库的系统框图,实现了一个简单的行为——控制电机的电流(扭矩)按顺时针,逆时针交替运行。同时,使用 UART 打印电流的**控制目标值**和**实际值**,以便观察控制的质量。 + +该示例的所有代码都在 [./RTL](https://github.com/WangXuan95/FpOC/blob/main/RTL) 目录下。工程在 [./FPGA](https://github.com/WangXuan95/FpOC/blob/main/FPGA) 目录下,需要用 Quartus 软件打开。 + +## 准备硬件 + +需要准备以下硬件: + +* PMSM 电机 +* FPGA 开发板 +* AD7928 ADC 模块,用于进行相电流采样(好像没有现成卖的,需要自己画模块) +* 电机驱动板,要支持3相 EN+PWM 输入信号,并且使用低侧电阻采样法+放大器对3相电流进行放大。 + +可以直接使用我画的 电机驱动板(自带AD7928),立创EDA工程[在此](https://oshwhub.com/wangxuan/arduino-foc-shield),只需要把它接一个 FPGA 开发板即可。 + +## 硬件连接与引脚分配 + +见该工程的顶层文件 [top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/top.sv) 的注释,以下外设需要连到 FPGA 的引脚上(普通IO引脚即可): + +* **晶振**, 50MHz 时钟,连接在 clk_50m 信号上。 +* **角度传感器 AS5600** , I2C 接口, 2根线: i2c_scl, i2c_sda +* **ADC AD7928** , SPI接口,4根线: spi_ss, spi_sck, spi_mosi, spi_miso +* **3相PWM输出信号**(通常接在Gate Driver上,例如MP6540/DRV8301),4根线: pwm_en, pwm_a, pwm_b, pwm_c + +另外还有一个 UART 发送信号 (uart_tx) 是可选的,可以把它连接在 UART 转 USB 模块上,通过 UART 来监测电流环的跟随曲线。 + +连接好后别忘了使用 Quartus (或者手动修改[./FPGA/foc.qsf](https://github.com/WangXuan95/FpOC/blob/main/FPGA/foc.qsf))根据实际情况**修改FPGA芯片型号**,**修改引脚约束**。 + +## 调参 + +[foc_top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/foc/foc_top.sv) 中有一些参数可以调整,例如电机的**极对数**、**PID参数**等,每个参数的含义详见 [foc_top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/foc/foc_top.sv) 。可以通过修改 [top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/top.sv) 的 97~103 行来修改这些参数。 + +## 运行示例 + +综合并烧录到 FPGA 后,可以看到电机正反交替运行。 + +## 监视串口 + +把 uart_tx 信号通过 **UART 转 USB 模块** (例如CP2102模块) 连接到电脑上,就可以用**串口助手**、**Putty**等软件来监测电流环的跟随效果。 + +> 注: UART 的格式是 115200,8,n,1 + +以下是串口打印的部分信息。其中第1~4列分别为:**d轴电流的实际值**,**d轴电流的目标值**,**q轴电流的实际值**,**q轴电流的目标值**。可以看到,即使目标值从+200突变到-200,实际值能跟着目标值走,说明电流环的 PID 控制是有效的。 + + + -5 0 206 200 + -16 0 202 200 + 16 0 192 200 + 15 0 201 200 + 1 0 197 200 + 17 0 -211 -200 + -6 0 -199 -200 + -10 0 -210 -200 + -3 0 -207 -200 + 0 0 -202 -200 + -15 0 -211 -200 + + +另外,你可以借用 **Arduino IDE** 的**串口绘图器**来实时显示电流跟随曲线。前往[该网站](https://www.arduino.cc/en/software)下载 **Arduino IDE**,安装后打开,在“**工具→端口**”中选择正确的COM口,然后点击“**工具→串口绘图器**”,**串口绘图器**会自动接收串口并使用上述4列数据画实时曲线图。 + +**图2** 是我这里绘制出的电流跟随曲线。蓝色曲线是第1列数据(d轴电流的实际值);红色曲线是第2列数据(d轴电流的目标值);绿色曲线是第3列数据(q轴电流的实际值);土黄色曲线是第4列数据(q轴电流的目标值)。可以看到实际值能跟着目标值走。 + +| ![wave](https://github.com/WangXuan95/FpOC/blob/main/wave.png) | +| :---: | +| 图1:电流跟随曲线 | + +# 代码详解 + +下表罗列了该工程使用的所有 **(System-)Verilog** 代码文件,这些文件都在 [./RTL](https://github.com/WangXuan95/FpOC/blob/main/RTL) 目录下。,结合**图1**就能看出每个模块的作用。 + +| 文件名 | 功能 | 备注 | +| :-- | :-- | :-- | +| top.sv | FPGA工程的顶层模块 | | +| pll.v | 使用 50MHz 时钟生成 36.864MHz 时钟 | 只支持 Altera Cyclone IV,其它型号的 FPGA 需要用相应的IP核或原语代替 | +| uart_monitor.sv | UART 发送器,用于数据监测 | 不需要的话可以删除 | +| uart_tx.sv | UART 发送控制器,被 uart_monitor.sv 调用 | 不需要的话可以删除 | +| itoa.sv | 数字转十进制字符串,被 uart_monitor.sv 调用 | 不需要的话可以删除 | +| as5600_read.sv | AS5600 磁编码器读取器 | | +| i2c_register_read.sv | I2C 读取器,被 as5600_read.sv 调用 | | +| adc_ad7928.sv | AD7928 读取器 | | +| foc_top.sv | FOC+SVPWM (即**图1**中的青色部分) | 固定算法,一般不需要改动 | +| clark_tr.sv | Clark 变换 | 固定算法,一般不需要改动 | +| park_tr.sv | Park 变换 | 固定算法,一般不需要改动 | +| sincos.sv | 正弦/余弦计算器,被 park_tr.sv 调用 | 固定算法,一般不需要改动 | +| pi_controller.sv | PID 控制器(只有P和I) | 固定算法,一般不需要改动 | +| cartesian2polar.sv | 直角坐标系转极坐标系 | 固定算法,一般不需要改动 | +| svpwm.sv | SVPWM 调制器 | 固定算法,一般不需要改动 | +| hold_detect.sv | 监测3个下桥臂都导通时,延迟一段时间后触发 sn_adc 信号,指示ADC可以开始采样 | 固定算法,一般不需要改动 | + + +| ![diagram](https://github.com/WangXuan95/FpOC/blob/main/diagram.png) | +| :---: | +| 图1:系统框图 | + +在**图1**中: + +* **淡橙色**部分是FPGA外部的硬件,包括Gate Driver、3相半桥(6个MOSFET)、采样电阻、放大器、ADC芯片、角度传感器等部件,取决于电路使用什么方案。例如,有些集成度很高的芯片(例如MP6540)可以把Gate Driver、3相半桥、采样电阻、放大器集成在同一个芯片里。 +* **粉色**部分是FPGA中的**硬件相关逻辑**,即传感器控制器,如果角度传感器和 ADC 型号变了,这部分代码需要重写。 +* **青色**部分是FPGA中的 FOC 的固定算法,属于**硬件无关逻辑**,一般不需要改变。 +* **黄色**部分是**用户自定逻辑**,用户可以修改 user behavior 来实现各种电机应用。或者修改 uart_monitor 来监测其它变量。 + +另外,除了 [pll.v](https://github.com/WangXuan95/FpOC/blob/main/RTL/pll.v) 外,该库的所有代码都使用纯 RTL 编写,可以轻易地移植到其它厂商(Xilinx、Lattice等)的 FPGA 上。 [pll.v](https://github.com/WangXuan95/FpOC/blob/main/RTL/pll.v) 只是用来把 50MHz 时钟变成 36.864MHz 时钟的,只适用于 Altera Cyclone IV FPGA,当使用其它厂商或系列的FPGA时,需要使用它们各自的 IP 核或原语(例如Xilinx的clock wizard)来代替。 + +[top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/top.sv) 和 [foc_top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/foc/foc_top.sv) 注有详细的注释。如果你了解 FOC 算法,可以直接读懂。如果刚入门 FOC,可以结合参考资料[6][8][9]去阅读。 + +## 可扩展功能 + +* 使用自己编写的模块来代替 [as5600_read.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/sensors/as5600_read.sv),以适配其它型号的角度传感器。 +* 使用自己编写的模块来代替 [adc_ad7928.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/sensors/adc_ad7928.sv),以适配其它型号的 ADC。 +* 在 [top.sv](https://github.com/WangXuan95/FpOC/blob/main/RTL/top.sv) 中添加外环(速度环、位置环等)进一步实现各种电机应用。 + +# 参考资料 + +* [1] [Sensorless FOC for PMSM](https://www.microchip.com/stellent/groups/SiteComm_sg/documents/Training_Tutorials/en532365.pdf), MicroChip. +* [2] [Current sensing in BLDC motor application](https://www.st.com/resource/en/application_note/dm00666970-current-sensing-in-bldc-motor-application-stmicroelectronics.pdf), ST. +* [3] [Center-Aligned SVPWM Realization](https://www.ti.com/lit/an/sprabs6/sprabs6.pdf), TI +* [4] [MP6540, 3-phase, brushless DC motor drivers](https://www.monolithicpower.com/en/mp6540-mp6540a.html), MPS. +* [5] [AD7928, 8-Channel, 1 MSPS, 12-Bit ADC](https://www.analog.com/en/products/ad7928.html), Analog Devices. +* [6] [深入浅出讲解FOC算法与SVPWM技术](https://zhuanlan.zhihu.com/p/147659820), 稚晖 - 知乎 +* [7] [如何从零开始写一套自己的FOC矢量控制程序](https://zhuanlan.zhihu.com/p/103758450?utm_source=qzone), 上官致远 - 知乎 +* [8] [STM32电动机控制应用系列讲座](https://www.bilibili.com/video/BV1vT4y1j7kc) +* [9] [BLDC电机基础](https://www.bilibili.com/video/BV1TW411d7k6) diff --git a/RTL/foc/cartesian2polar.sv b/RTL/foc/cartesian2polar.sv new file mode 100644 index 0000000..1accd24 --- /dev/null +++ b/RTL/foc/cartesian2polar.sv @@ -0,0 +1,4187 @@ +`timescale 1 ns/1 ns + +module cartesian2polar #( + parameter ATTENUAION = 0 +) ( + input wire rstn, + input wire clk, + input wire i_en, + input wire signed [15:0] i_x, i_y, + output reg o_en, + output reg [11:0] o_rho, + output reg [11:0] o_theta +); + +reg [ 4:0] cnt; +reg signx, signy, signxy; +reg [15:0] absx, absy; // 0~32768 +reg [27:0] smtb, smta; +reg [27:0] accb; +reg [15:0] acca; + +reg [8:0] rom_a, rom_theta; +reg [8:0] a; +reg [11:0] theta; +reg [15:0] amp; + +wire [23:0] mul = {15'd0,a} * {8'd0,absx}; + +wire [15:0] amp_w = {2'b0,mul[23:10]} + absx; +wire [15:0] ampatt_w = amp_w >> ATTENUAION; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + cnt <= '0; + {signx, signy, signxy, absx, absy, smtb, smta, accb, acca} <= '0; + {a, theta, amp} <= '0; + {o_en, o_rho, o_theta} <= '0; + end else begin + o_en <= 1'b0; + if(cnt==5'd0) begin + accb <= '0; + acca <= '0; + signx <= i_x<$signed(16'd0); + signy <= i_y<$signed(16'd0); + absx <= i_x<$signed(16'd0) ? -i_x : i_x; + absy <= i_y<$signed(16'd0) ? -i_y : i_y; + if(i_en) + cnt <= 5'd30; + end else begin + cnt <= cnt - 5'd1; + if(cnt>=5'd30) begin + signxy <= absx < absy; + if(absx < absy) begin + absx <= absy; + smtb <= {absy, 12'h0}; + smta <= {absx, 12'h0}; + end else begin + smtb <= {absx, 12'h0}; + smta <= {absy, 12'h0}; + end + end else if(cnt>5'd4) begin + if( accb + smtb <= 28'h8000 ) begin + accb <= accb + smtb; + acca <= acca + smta[15:0]; + end + smtb <= smtb >> 1; + smta <= smta >> 1; + end else if(cnt==5'd4) begin + a <= acca[15] ? 9'd424 : rom_a; + theta <= (acca[15:3]>=13'd4090) ? 12'd512 : {3'b0,rom_theta}; + end else if(cnt==5'd3) begin + if(signxy) begin + theta <= 12'd1024 - theta; + end + end else if(cnt==5'd2) begin + amp <= ampatt_w; + if(signx) + theta <= 12'd2048 - theta; + end else if(cnt==5'd1) begin + o_en <= 1'b1; + o_rho <= amp>16'd4095 ? 12'd4095 : amp[11:0]; + o_theta <= signy ? 12'd0-theta : theta; + end + end + end + +always @ (posedge clk) +case(acca[14:3]) +12'd0:{rom_a,rom_theta}<={9'd0,9'd0}; +12'd1:{rom_a,rom_theta}<={9'd0,9'd0}; +12'd2:{rom_a,rom_theta}<={9'd0,9'd0}; +12'd3:{rom_a,rom_theta}<={9'd0,9'd0}; +12'd4:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd5:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd6:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd7:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd8:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd9:{rom_a,rom_theta}<={9'd0,9'd1}; +12'd10:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd11:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd12:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd13:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd14:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd15:{rom_a,rom_theta}<={9'd0,9'd2}; +12'd16:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd17:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd18:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd19:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd20:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd21:{rom_a,rom_theta}<={9'd0,9'd3}; +12'd22:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd23:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd24:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd25:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd26:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd27:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd28:{rom_a,rom_theta}<={9'd0,9'd4}; +12'd29:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd30:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd31:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd32:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd33:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd34:{rom_a,rom_theta}<={9'd0,9'd5}; +12'd35:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd36:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd37:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd38:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd39:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd40:{rom_a,rom_theta}<={9'd0,9'd6}; +12'd41:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd42:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd43:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd44:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd45:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd46:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd47:{rom_a,rom_theta}<={9'd0,9'd7}; +12'd48:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd49:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd50:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd51:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd52:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd53:{rom_a,rom_theta}<={9'd0,9'd8}; +12'd54:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd55:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd56:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd57:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd58:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd59:{rom_a,rom_theta}<={9'd0,9'd9}; +12'd60:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd61:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd62:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd63:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd64:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd65:{rom_a,rom_theta}<={9'd0,9'd10}; +12'd66:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd67:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd68:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd69:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd70:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd71:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd72:{rom_a,rom_theta}<={9'd0,9'd11}; +12'd73:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd74:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd75:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd76:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd77:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd78:{rom_a,rom_theta}<={9'd0,9'd12}; +12'd79:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd80:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd81:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd82:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd83:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd84:{rom_a,rom_theta}<={9'd0,9'd13}; +12'd85:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd86:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd87:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd88:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd89:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd90:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd91:{rom_a,rom_theta}<={9'd0,9'd14}; +12'd92:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd93:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd94:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd95:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd96:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd97:{rom_a,rom_theta}<={9'd0,9'd15}; +12'd98:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd99:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd100:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd101:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd102:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd103:{rom_a,rom_theta}<={9'd0,9'd16}; +12'd104:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd105:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd106:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd107:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd108:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd109:{rom_a,rom_theta}<={9'd0,9'd17}; +12'd110:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd111:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd112:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd113:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd114:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd115:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd116:{rom_a,rom_theta}<={9'd0,9'd18}; +12'd117:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd118:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd119:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd120:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd121:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd122:{rom_a,rom_theta}<={9'd0,9'd19}; +12'd123:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd124:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd125:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd126:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd127:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd128:{rom_a,rom_theta}<={9'd0,9'd20}; +12'd129:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd130:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd131:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd132:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd133:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd134:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd135:{rom_a,rom_theta}<={9'd1,9'd21}; +12'd136:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd137:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd138:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd139:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd140:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd141:{rom_a,rom_theta}<={9'd1,9'd22}; +12'd142:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd143:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd144:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd145:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd146:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd147:{rom_a,rom_theta}<={9'd1,9'd23}; +12'd148:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd149:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd150:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd151:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd152:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd153:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd154:{rom_a,rom_theta}<={9'd1,9'd24}; +12'd155:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd156:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd157:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd158:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd159:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd160:{rom_a,rom_theta}<={9'd1,9'd25}; +12'd161:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd162:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd163:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd164:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd165:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd166:{rom_a,rom_theta}<={9'd1,9'd26}; +12'd167:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd168:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd169:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd170:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd171:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd172:{rom_a,rom_theta}<={9'd1,9'd27}; +12'd173:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd174:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd175:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd176:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd177:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd178:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd179:{rom_a,rom_theta}<={9'd1,9'd28}; +12'd180:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd181:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd182:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd183:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd184:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd185:{rom_a,rom_theta}<={9'd1,9'd29}; +12'd186:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd187:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd188:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd189:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd190:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd191:{rom_a,rom_theta}<={9'd1,9'd30}; +12'd192:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd193:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd194:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd195:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd196:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd197:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd198:{rom_a,rom_theta}<={9'd1,9'd31}; +12'd199:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd200:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd201:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd202:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd203:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd204:{rom_a,rom_theta}<={9'd1,9'd32}; +12'd205:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd206:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd207:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd208:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd209:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd210:{rom_a,rom_theta}<={9'd1,9'd33}; +12'd211:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd212:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd213:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd214:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd215:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd216:{rom_a,rom_theta}<={9'd1,9'd34}; +12'd217:{rom_a,rom_theta}<={9'd1,9'd35}; +12'd218:{rom_a,rom_theta}<={9'd1,9'd35}; +12'd219:{rom_a,rom_theta}<={9'd1,9'd35}; +12'd220:{rom_a,rom_theta}<={9'd1,9'd35}; +12'd221:{rom_a,rom_theta}<={9'd1,9'd35}; +12'd222:{rom_a,rom_theta}<={9'd2,9'd35}; +12'd223:{rom_a,rom_theta}<={9'd2,9'd35}; +12'd224:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd225:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd226:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd227:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd228:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd229:{rom_a,rom_theta}<={9'd2,9'd36}; +12'd230:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd231:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd232:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd233:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd234:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd235:{rom_a,rom_theta}<={9'd2,9'd37}; +12'd236:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd237:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd238:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd239:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd240:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd241:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd242:{rom_a,rom_theta}<={9'd2,9'd38}; +12'd243:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd244:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd245:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd246:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd247:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd248:{rom_a,rom_theta}<={9'd2,9'd39}; +12'd249:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd250:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd251:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd252:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd253:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd254:{rom_a,rom_theta}<={9'd2,9'd40}; +12'd255:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd256:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd257:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd258:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd259:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd260:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd261:{rom_a,rom_theta}<={9'd2,9'd41}; +12'd262:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd263:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd264:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd265:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd266:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd267:{rom_a,rom_theta}<={9'd2,9'd42}; +12'd268:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd269:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd270:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd271:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd272:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd273:{rom_a,rom_theta}<={9'd2,9'd43}; +12'd274:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd275:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd276:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd277:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd278:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd279:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd280:{rom_a,rom_theta}<={9'd2,9'd44}; +12'd281:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd282:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd283:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd284:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd285:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd286:{rom_a,rom_theta}<={9'd2,9'd45}; +12'd287:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd288:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd289:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd290:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd291:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd292:{rom_a,rom_theta}<={9'd3,9'd46}; +12'd293:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd294:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd295:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd296:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd297:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd298:{rom_a,rom_theta}<={9'd3,9'd47}; +12'd299:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd300:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd301:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd302:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd303:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd304:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd305:{rom_a,rom_theta}<={9'd3,9'd48}; +12'd306:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd307:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd308:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd309:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd310:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd311:{rom_a,rom_theta}<={9'd3,9'd49}; +12'd312:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd313:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd314:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd315:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd316:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd317:{rom_a,rom_theta}<={9'd3,9'd50}; +12'd318:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd319:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd320:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd321:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd322:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd323:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd324:{rom_a,rom_theta}<={9'd3,9'd51}; +12'd325:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd326:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd327:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd328:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd329:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd330:{rom_a,rom_theta}<={9'd3,9'd52}; +12'd331:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd332:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd333:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd334:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd335:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd336:{rom_a,rom_theta}<={9'd3,9'd53}; +12'd337:{rom_a,rom_theta}<={9'd3,9'd54}; +12'd338:{rom_a,rom_theta}<={9'd3,9'd54}; +12'd339:{rom_a,rom_theta}<={9'd4,9'd54}; +12'd340:{rom_a,rom_theta}<={9'd4,9'd54}; +12'd341:{rom_a,rom_theta}<={9'd4,9'd54}; +12'd342:{rom_a,rom_theta}<={9'd4,9'd54}; +12'd343:{rom_a,rom_theta}<={9'd4,9'd54}; +12'd344:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd345:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd346:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd347:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd348:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd349:{rom_a,rom_theta}<={9'd4,9'd55}; +12'd350:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd351:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd352:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd353:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd354:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd355:{rom_a,rom_theta}<={9'd4,9'd56}; +12'd356:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd357:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd358:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd359:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd360:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd361:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd362:{rom_a,rom_theta}<={9'd4,9'd57}; +12'd363:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd364:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd365:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd366:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd367:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd368:{rom_a,rom_theta}<={9'd4,9'd58}; +12'd369:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd370:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd371:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd372:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd373:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd374:{rom_a,rom_theta}<={9'd4,9'd59}; +12'd375:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd376:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd377:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd378:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd379:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd380:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd381:{rom_a,rom_theta}<={9'd4,9'd60}; +12'd382:{rom_a,rom_theta}<={9'd4,9'd61}; +12'd383:{rom_a,rom_theta}<={9'd4,9'd61}; +12'd384:{rom_a,rom_theta}<={9'd4,9'd61}; +12'd385:{rom_a,rom_theta}<={9'd5,9'd61}; +12'd386:{rom_a,rom_theta}<={9'd5,9'd61}; +12'd387:{rom_a,rom_theta}<={9'd5,9'd61}; +12'd388:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd389:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd390:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd391:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd392:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd393:{rom_a,rom_theta}<={9'd5,9'd62}; +12'd394:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd395:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd396:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd397:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd398:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd399:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd400:{rom_a,rom_theta}<={9'd5,9'd63}; +12'd401:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd402:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd403:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd404:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd405:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd406:{rom_a,rom_theta}<={9'd5,9'd64}; +12'd407:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd408:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd409:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd410:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd411:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd412:{rom_a,rom_theta}<={9'd5,9'd65}; +12'd413:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd414:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd415:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd416:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd417:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd418:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd419:{rom_a,rom_theta}<={9'd5,9'd66}; +12'd420:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd421:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd422:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd423:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd424:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd425:{rom_a,rom_theta}<={9'd5,9'd67}; +12'd426:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd427:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd428:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd429:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd430:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd431:{rom_a,rom_theta}<={9'd6,9'd68}; +12'd432:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd433:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd434:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd435:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd436:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd437:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd438:{rom_a,rom_theta}<={9'd6,9'd69}; +12'd439:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd440:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd441:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd442:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd443:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd444:{rom_a,rom_theta}<={9'd6,9'd70}; +12'd445:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd446:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd447:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd448:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd449:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd450:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd451:{rom_a,rom_theta}<={9'd6,9'd71}; +12'd452:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd453:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd454:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd455:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd456:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd457:{rom_a,rom_theta}<={9'd6,9'd72}; +12'd458:{rom_a,rom_theta}<={9'd6,9'd73}; +12'd459:{rom_a,rom_theta}<={9'd6,9'd73}; +12'd460:{rom_a,rom_theta}<={9'd6,9'd73}; +12'd461:{rom_a,rom_theta}<={9'd6,9'd73}; +12'd462:{rom_a,rom_theta}<={9'd6,9'd73}; +12'd463:{rom_a,rom_theta}<={9'd7,9'd73}; +12'd464:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd465:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd466:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd467:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd468:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd469:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd470:{rom_a,rom_theta}<={9'd7,9'd74}; +12'd471:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd472:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd473:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd474:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd475:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd476:{rom_a,rom_theta}<={9'd7,9'd75}; +12'd477:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd478:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd479:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd480:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd481:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd482:{rom_a,rom_theta}<={9'd7,9'd76}; +12'd483:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd484:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd485:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd486:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd487:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd488:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd489:{rom_a,rom_theta}<={9'd7,9'd77}; +12'd490:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd491:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd492:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd493:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd494:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd495:{rom_a,rom_theta}<={9'd7,9'd78}; +12'd496:{rom_a,rom_theta}<={9'd7,9'd79}; +12'd497:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd498:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd499:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd500:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd501:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd502:{rom_a,rom_theta}<={9'd8,9'd79}; +12'd503:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd504:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd505:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd506:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd507:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd508:{rom_a,rom_theta}<={9'd8,9'd80}; +12'd509:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd510:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd511:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd512:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd513:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd514:{rom_a,rom_theta}<={9'd8,9'd81}; +12'd515:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd516:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd517:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd518:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd519:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd520:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd521:{rom_a,rom_theta}<={9'd8,9'd82}; +12'd522:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd523:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd524:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd525:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd526:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd527:{rom_a,rom_theta}<={9'd8,9'd83}; +12'd528:{rom_a,rom_theta}<={9'd8,9'd84}; +12'd529:{rom_a,rom_theta}<={9'd9,9'd84}; +12'd530:{rom_a,rom_theta}<={9'd9,9'd84}; +12'd531:{rom_a,rom_theta}<={9'd9,9'd84}; +12'd532:{rom_a,rom_theta}<={9'd9,9'd84}; +12'd533:{rom_a,rom_theta}<={9'd9,9'd84}; +12'd534:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd535:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd536:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd537:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd538:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd539:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd540:{rom_a,rom_theta}<={9'd9,9'd85}; +12'd541:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd542:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd543:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd544:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd545:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd546:{rom_a,rom_theta}<={9'd9,9'd86}; +12'd547:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd548:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd549:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd550:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd551:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd552:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd553:{rom_a,rom_theta}<={9'd9,9'd87}; +12'd554:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd555:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd556:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd557:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd558:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd559:{rom_a,rom_theta}<={9'd9,9'd88}; +12'd560:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd561:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd562:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd563:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd564:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd565:{rom_a,rom_theta}<={9'd10,9'd89}; +12'd566:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd567:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd568:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd569:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd570:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd571:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd572:{rom_a,rom_theta}<={9'd10,9'd90}; +12'd573:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd574:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd575:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd576:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd577:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd578:{rom_a,rom_theta}<={9'd10,9'd91}; +12'd579:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd580:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd581:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd582:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd583:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd584:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd585:{rom_a,rom_theta}<={9'd10,9'd92}; +12'd586:{rom_a,rom_theta}<={9'd10,9'd93}; +12'd587:{rom_a,rom_theta}<={9'd10,9'd93}; +12'd588:{rom_a,rom_theta}<={9'd10,9'd93}; +12'd589:{rom_a,rom_theta}<={9'd11,9'd93}; +12'd590:{rom_a,rom_theta}<={9'd11,9'd93}; +12'd591:{rom_a,rom_theta}<={9'd11,9'd93}; +12'd592:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd593:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd594:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd595:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd596:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd597:{rom_a,rom_theta}<={9'd11,9'd94}; +12'd598:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd599:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd600:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd601:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd602:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd603:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd604:{rom_a,rom_theta}<={9'd11,9'd95}; +12'd605:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd606:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd607:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd608:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd609:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd610:{rom_a,rom_theta}<={9'd11,9'd96}; +12'd611:{rom_a,rom_theta}<={9'd11,9'd97}; +12'd612:{rom_a,rom_theta}<={9'd11,9'd97}; +12'd613:{rom_a,rom_theta}<={9'd11,9'd97}; +12'd614:{rom_a,rom_theta}<={9'd11,9'd97}; +12'd615:{rom_a,rom_theta}<={9'd11,9'd97}; +12'd616:{rom_a,rom_theta}<={9'd12,9'd97}; +12'd617:{rom_a,rom_theta}<={9'd12,9'd97}; +12'd618:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd619:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd620:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd621:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd622:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd623:{rom_a,rom_theta}<={9'd12,9'd98}; +12'd624:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd625:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd626:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd627:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd628:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd629:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd630:{rom_a,rom_theta}<={9'd12,9'd99}; +12'd631:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd632:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd633:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd634:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd635:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd636:{rom_a,rom_theta}<={9'd12,9'd100}; +12'd637:{rom_a,rom_theta}<={9'd12,9'd101}; +12'd638:{rom_a,rom_theta}<={9'd12,9'd101}; +12'd639:{rom_a,rom_theta}<={9'd12,9'd101}; +12'd640:{rom_a,rom_theta}<={9'd12,9'd101}; +12'd641:{rom_a,rom_theta}<={9'd12,9'd101}; +12'd642:{rom_a,rom_theta}<={9'd13,9'd101}; +12'd643:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd644:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd645:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd646:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd647:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd648:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd649:{rom_a,rom_theta}<={9'd13,9'd102}; +12'd650:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd651:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd652:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd653:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd654:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd655:{rom_a,rom_theta}<={9'd13,9'd103}; +12'd656:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd657:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd658:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd659:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd660:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd661:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd662:{rom_a,rom_theta}<={9'd13,9'd104}; +12'd663:{rom_a,rom_theta}<={9'd13,9'd105}; +12'd664:{rom_a,rom_theta}<={9'd13,9'd105}; +12'd665:{rom_a,rom_theta}<={9'd13,9'd105}; +12'd666:{rom_a,rom_theta}<={9'd13,9'd105}; +12'd667:{rom_a,rom_theta}<={9'd13,9'd105}; +12'd668:{rom_a,rom_theta}<={9'd14,9'd105}; +12'd669:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd670:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd671:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd672:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd673:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd674:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd675:{rom_a,rom_theta}<={9'd14,9'd106}; +12'd676:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd677:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd678:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd679:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd680:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd681:{rom_a,rom_theta}<={9'd14,9'd107}; +12'd682:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd683:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd684:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd685:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd686:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd687:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd688:{rom_a,rom_theta}<={9'd14,9'd108}; +12'd689:{rom_a,rom_theta}<={9'd14,9'd109}; +12'd690:{rom_a,rom_theta}<={9'd14,9'd109}; +12'd691:{rom_a,rom_theta}<={9'd14,9'd109}; +12'd692:{rom_a,rom_theta}<={9'd15,9'd109}; +12'd693:{rom_a,rom_theta}<={9'd15,9'd109}; +12'd694:{rom_a,rom_theta}<={9'd15,9'd109}; +12'd695:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd696:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd697:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd698:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd699:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd700:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd701:{rom_a,rom_theta}<={9'd15,9'd110}; +12'd702:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd703:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd704:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd705:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd706:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd707:{rom_a,rom_theta}<={9'd15,9'd111}; +12'd708:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd709:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd710:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd711:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd712:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd713:{rom_a,rom_theta}<={9'd15,9'd112}; +12'd714:{rom_a,rom_theta}<={9'd15,9'd113}; +12'd715:{rom_a,rom_theta}<={9'd15,9'd113}; +12'd716:{rom_a,rom_theta}<={9'd16,9'd113}; +12'd717:{rom_a,rom_theta}<={9'd16,9'd113}; +12'd718:{rom_a,rom_theta}<={9'd16,9'd113}; +12'd719:{rom_a,rom_theta}<={9'd16,9'd113}; +12'd720:{rom_a,rom_theta}<={9'd16,9'd113}; +12'd721:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd722:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd723:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd724:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd725:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd726:{rom_a,rom_theta}<={9'd16,9'd114}; +12'd727:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd728:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd729:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd730:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd731:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd732:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd733:{rom_a,rom_theta}<={9'd16,9'd115}; +12'd734:{rom_a,rom_theta}<={9'd16,9'd116}; +12'd735:{rom_a,rom_theta}<={9'd16,9'd116}; +12'd736:{rom_a,rom_theta}<={9'd16,9'd116}; +12'd737:{rom_a,rom_theta}<={9'd16,9'd116}; +12'd738:{rom_a,rom_theta}<={9'd16,9'd116}; +12'd739:{rom_a,rom_theta}<={9'd17,9'd116}; +12'd740:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd741:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd742:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd743:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd744:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd745:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd746:{rom_a,rom_theta}<={9'd17,9'd117}; +12'd747:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd748:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd749:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd750:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd751:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd752:{rom_a,rom_theta}<={9'd17,9'd118}; +12'd753:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd754:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd755:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd756:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd757:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd758:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd759:{rom_a,rom_theta}<={9'd17,9'd119}; +12'd760:{rom_a,rom_theta}<={9'd17,9'd120}; +12'd761:{rom_a,rom_theta}<={9'd18,9'd120}; +12'd762:{rom_a,rom_theta}<={9'd18,9'd120}; +12'd763:{rom_a,rom_theta}<={9'd18,9'd120}; +12'd764:{rom_a,rom_theta}<={9'd18,9'd120}; +12'd765:{rom_a,rom_theta}<={9'd18,9'd120}; +12'd766:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd767:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd768:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd769:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd770:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd771:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd772:{rom_a,rom_theta}<={9'd18,9'd121}; +12'd773:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd774:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd775:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd776:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd777:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd778:{rom_a,rom_theta}<={9'd18,9'd122}; +12'd779:{rom_a,rom_theta}<={9'd18,9'd123}; +12'd780:{rom_a,rom_theta}<={9'd18,9'd123}; +12'd781:{rom_a,rom_theta}<={9'd18,9'd123}; +12'd782:{rom_a,rom_theta}<={9'd18,9'd123}; +12'd783:{rom_a,rom_theta}<={9'd19,9'd123}; +12'd784:{rom_a,rom_theta}<={9'd19,9'd123}; +12'd785:{rom_a,rom_theta}<={9'd19,9'd123}; +12'd786:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd787:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd788:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd789:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd790:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd791:{rom_a,rom_theta}<={9'd19,9'd124}; +12'd792:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd793:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd794:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd795:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd796:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd797:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd798:{rom_a,rom_theta}<={9'd19,9'd125}; +12'd799:{rom_a,rom_theta}<={9'd19,9'd126}; +12'd800:{rom_a,rom_theta}<={9'd19,9'd126}; +12'd801:{rom_a,rom_theta}<={9'd19,9'd126}; +12'd802:{rom_a,rom_theta}<={9'd19,9'd126}; +12'd803:{rom_a,rom_theta}<={9'd19,9'd126}; +12'd804:{rom_a,rom_theta}<={9'd20,9'd126}; +12'd805:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd806:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd807:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd808:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd809:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd810:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd811:{rom_a,rom_theta}<={9'd20,9'd127}; +12'd812:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd813:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd814:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd815:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd816:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd817:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd818:{rom_a,rom_theta}<={9'd20,9'd128}; +12'd819:{rom_a,rom_theta}<={9'd20,9'd129}; +12'd820:{rom_a,rom_theta}<={9'd20,9'd129}; +12'd821:{rom_a,rom_theta}<={9'd20,9'd129}; +12'd822:{rom_a,rom_theta}<={9'd20,9'd129}; +12'd823:{rom_a,rom_theta}<={9'd20,9'd129}; +12'd824:{rom_a,rom_theta}<={9'd21,9'd129}; +12'd825:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd826:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd827:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd828:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd829:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd830:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd831:{rom_a,rom_theta}<={9'd21,9'd130}; +12'd832:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd833:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd834:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd835:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd836:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd837:{rom_a,rom_theta}<={9'd21,9'd131}; +12'd838:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd839:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd840:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd841:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd842:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd843:{rom_a,rom_theta}<={9'd21,9'd132}; +12'd844:{rom_a,rom_theta}<={9'd22,9'd132}; +12'd845:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd846:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd847:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd848:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd849:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd850:{rom_a,rom_theta}<={9'd22,9'd133}; +12'd851:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd852:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd853:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd854:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd855:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd856:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd857:{rom_a,rom_theta}<={9'd22,9'd134}; +12'd858:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd859:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd860:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd861:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd862:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd863:{rom_a,rom_theta}<={9'd22,9'd135}; +12'd864:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd865:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd866:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd867:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd868:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd869:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd870:{rom_a,rom_theta}<={9'd23,9'd136}; +12'd871:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd872:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd873:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd874:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd875:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd876:{rom_a,rom_theta}<={9'd23,9'd137}; +12'd877:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd878:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd879:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd880:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd881:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd882:{rom_a,rom_theta}<={9'd23,9'd138}; +12'd883:{rom_a,rom_theta}<={9'd24,9'd138}; +12'd884:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd885:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd886:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd887:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd888:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd889:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd890:{rom_a,rom_theta}<={9'd24,9'd139}; +12'd891:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd892:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd893:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd894:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd895:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd896:{rom_a,rom_theta}<={9'd24,9'd140}; +12'd897:{rom_a,rom_theta}<={9'd24,9'd141}; +12'd898:{rom_a,rom_theta}<={9'd24,9'd141}; +12'd899:{rom_a,rom_theta}<={9'd24,9'd141}; +12'd900:{rom_a,rom_theta}<={9'd24,9'd141}; +12'd901:{rom_a,rom_theta}<={9'd24,9'd141}; +12'd902:{rom_a,rom_theta}<={9'd25,9'd141}; +12'd903:{rom_a,rom_theta}<={9'd25,9'd141}; +12'd904:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd905:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd906:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd907:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd908:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd909:{rom_a,rom_theta}<={9'd25,9'd142}; +12'd910:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd911:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd912:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd913:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd914:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd915:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd916:{rom_a,rom_theta}<={9'd25,9'd143}; +12'd917:{rom_a,rom_theta}<={9'd25,9'd144}; +12'd918:{rom_a,rom_theta}<={9'd25,9'd144}; +12'd919:{rom_a,rom_theta}<={9'd25,9'd144}; +12'd920:{rom_a,rom_theta}<={9'd26,9'd144}; +12'd921:{rom_a,rom_theta}<={9'd26,9'd144}; +12'd922:{rom_a,rom_theta}<={9'd26,9'd144}; +12'd923:{rom_a,rom_theta}<={9'd26,9'd144}; +12'd924:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd925:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd926:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd927:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd928:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd929:{rom_a,rom_theta}<={9'd26,9'd145}; +12'd930:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd931:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd932:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd933:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd934:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd935:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd936:{rom_a,rom_theta}<={9'd26,9'd146}; +12'd937:{rom_a,rom_theta}<={9'd26,9'd147}; +12'd938:{rom_a,rom_theta}<={9'd27,9'd147}; +12'd939:{rom_a,rom_theta}<={9'd27,9'd147}; +12'd940:{rom_a,rom_theta}<={9'd27,9'd147}; +12'd941:{rom_a,rom_theta}<={9'd27,9'd147}; +12'd942:{rom_a,rom_theta}<={9'd27,9'd147}; +12'd943:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd944:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd945:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd946:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd947:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd948:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd949:{rom_a,rom_theta}<={9'd27,9'd148}; +12'd950:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd951:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd952:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd953:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd954:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd955:{rom_a,rom_theta}<={9'd27,9'd149}; +12'd956:{rom_a,rom_theta}<={9'd28,9'd149}; +12'd957:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd958:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd959:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd960:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd961:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd962:{rom_a,rom_theta}<={9'd28,9'd150}; +12'd963:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd964:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd965:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd966:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd967:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd968:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd969:{rom_a,rom_theta}<={9'd28,9'd151}; +12'd970:{rom_a,rom_theta}<={9'd28,9'd152}; +12'd971:{rom_a,rom_theta}<={9'd28,9'd152}; +12'd972:{rom_a,rom_theta}<={9'd28,9'd152}; +12'd973:{rom_a,rom_theta}<={9'd28,9'd152}; +12'd974:{rom_a,rom_theta}<={9'd29,9'd152}; +12'd975:{rom_a,rom_theta}<={9'd29,9'd152}; +12'd976:{rom_a,rom_theta}<={9'd29,9'd152}; +12'd977:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd978:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd979:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd980:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd981:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd982:{rom_a,rom_theta}<={9'd29,9'd153}; +12'd983:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd984:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd985:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd986:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd987:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd988:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd989:{rom_a,rom_theta}<={9'd29,9'd154}; +12'd990:{rom_a,rom_theta}<={9'd29,9'd155}; +12'd991:{rom_a,rom_theta}<={9'd30,9'd155}; +12'd992:{rom_a,rom_theta}<={9'd30,9'd155}; +12'd993:{rom_a,rom_theta}<={9'd30,9'd155}; +12'd994:{rom_a,rom_theta}<={9'd30,9'd155}; +12'd995:{rom_a,rom_theta}<={9'd30,9'd155}; +12'd996:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd997:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd998:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd999:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd1000:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd1001:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd1002:{rom_a,rom_theta}<={9'd30,9'd156}; +12'd1003:{rom_a,rom_theta}<={9'd30,9'd157}; +12'd1004:{rom_a,rom_theta}<={9'd30,9'd157}; +12'd1005:{rom_a,rom_theta}<={9'd30,9'd157}; +12'd1006:{rom_a,rom_theta}<={9'd30,9'd157}; +12'd1007:{rom_a,rom_theta}<={9'd30,9'd157}; +12'd1008:{rom_a,rom_theta}<={9'd31,9'd157}; +12'd1009:{rom_a,rom_theta}<={9'd31,9'd157}; +12'd1010:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1011:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1012:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1013:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1014:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1015:{rom_a,rom_theta}<={9'd31,9'd158}; +12'd1016:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1017:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1018:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1019:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1020:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1021:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1022:{rom_a,rom_theta}<={9'd31,9'd159}; +12'd1023:{rom_a,rom_theta}<={9'd31,9'd160}; +12'd1024:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1025:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1026:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1027:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1028:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1029:{rom_a,rom_theta}<={9'd32,9'd160}; +12'd1030:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1031:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1032:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1033:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1034:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1035:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1036:{rom_a,rom_theta}<={9'd32,9'd161}; +12'd1037:{rom_a,rom_theta}<={9'd32,9'd162}; +12'd1038:{rom_a,rom_theta}<={9'd32,9'd162}; +12'd1039:{rom_a,rom_theta}<={9'd32,9'd162}; +12'd1040:{rom_a,rom_theta}<={9'd32,9'd162}; +12'd1041:{rom_a,rom_theta}<={9'd33,9'd162}; +12'd1042:{rom_a,rom_theta}<={9'd33,9'd162}; +12'd1043:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1044:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1045:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1046:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1047:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1048:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1049:{rom_a,rom_theta}<={9'd33,9'd163}; +12'd1050:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1051:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1052:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1053:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1054:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1055:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1056:{rom_a,rom_theta}<={9'd33,9'd164}; +12'd1057:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1058:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1059:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1060:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1061:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1062:{rom_a,rom_theta}<={9'd34,9'd165}; +12'd1063:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1064:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1065:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1066:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1067:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1068:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1069:{rom_a,rom_theta}<={9'd34,9'd166}; +12'd1070:{rom_a,rom_theta}<={9'd34,9'd167}; +12'd1071:{rom_a,rom_theta}<={9'd34,9'd167}; +12'd1072:{rom_a,rom_theta}<={9'd34,9'd167}; +12'd1073:{rom_a,rom_theta}<={9'd35,9'd167}; +12'd1074:{rom_a,rom_theta}<={9'd35,9'd167}; +12'd1075:{rom_a,rom_theta}<={9'd35,9'd167}; +12'd1076:{rom_a,rom_theta}<={9'd35,9'd167}; +12'd1077:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1078:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1079:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1080:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1081:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1082:{rom_a,rom_theta}<={9'd35,9'd168}; +12'd1083:{rom_a,rom_theta}<={9'd35,9'd169}; +12'd1084:{rom_a,rom_theta}<={9'd35,9'd169}; +12'd1085:{rom_a,rom_theta}<={9'd35,9'd169}; +12'd1086:{rom_a,rom_theta}<={9'd35,9'd169}; +12'd1087:{rom_a,rom_theta}<={9'd35,9'd169}; +12'd1088:{rom_a,rom_theta}<={9'd36,9'd169}; +12'd1089:{rom_a,rom_theta}<={9'd36,9'd169}; +12'd1090:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1091:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1092:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1093:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1094:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1095:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1096:{rom_a,rom_theta}<={9'd36,9'd170}; +12'd1097:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1098:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1099:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1100:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1101:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1102:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1103:{rom_a,rom_theta}<={9'd36,9'd171}; +12'd1104:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1105:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1106:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1107:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1108:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1109:{rom_a,rom_theta}<={9'd37,9'd172}; +12'd1110:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1111:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1112:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1113:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1114:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1115:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1116:{rom_a,rom_theta}<={9'd37,9'd173}; +12'd1117:{rom_a,rom_theta}<={9'd37,9'd174}; +12'd1118:{rom_a,rom_theta}<={9'd37,9'd174}; +12'd1119:{rom_a,rom_theta}<={9'd38,9'd174}; +12'd1120:{rom_a,rom_theta}<={9'd38,9'd174}; +12'd1121:{rom_a,rom_theta}<={9'd38,9'd174}; +12'd1122:{rom_a,rom_theta}<={9'd38,9'd174}; +12'd1123:{rom_a,rom_theta}<={9'd38,9'd174}; +12'd1124:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1125:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1126:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1127:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1128:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1129:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1130:{rom_a,rom_theta}<={9'd38,9'd175}; +12'd1131:{rom_a,rom_theta}<={9'd38,9'd176}; +12'd1132:{rom_a,rom_theta}<={9'd38,9'd176}; +12'd1133:{rom_a,rom_theta}<={9'd38,9'd176}; +12'd1134:{rom_a,rom_theta}<={9'd39,9'd176}; +12'd1135:{rom_a,rom_theta}<={9'd39,9'd176}; +12'd1136:{rom_a,rom_theta}<={9'd39,9'd176}; +12'd1137:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1138:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1139:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1140:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1141:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1142:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1143:{rom_a,rom_theta}<={9'd39,9'd177}; +12'd1144:{rom_a,rom_theta}<={9'd39,9'd178}; +12'd1145:{rom_a,rom_theta}<={9'd39,9'd178}; +12'd1146:{rom_a,rom_theta}<={9'd39,9'd178}; +12'd1147:{rom_a,rom_theta}<={9'd39,9'd178}; +12'd1148:{rom_a,rom_theta}<={9'd39,9'd178}; +12'd1149:{rom_a,rom_theta}<={9'd40,9'd178}; +12'd1150:{rom_a,rom_theta}<={9'd40,9'd178}; +12'd1151:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1152:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1153:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1154:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1155:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1156:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1157:{rom_a,rom_theta}<={9'd40,9'd179}; +12'd1158:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1159:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1160:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1161:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1162:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1163:{rom_a,rom_theta}<={9'd40,9'd180}; +12'd1164:{rom_a,rom_theta}<={9'd41,9'd180}; +12'd1165:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1166:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1167:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1168:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1169:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1170:{rom_a,rom_theta}<={9'd41,9'd181}; +12'd1171:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1172:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1173:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1174:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1175:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1176:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1177:{rom_a,rom_theta}<={9'd41,9'd182}; +12'd1178:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1179:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1180:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1181:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1182:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1183:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1184:{rom_a,rom_theta}<={9'd42,9'd183}; +12'd1185:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1186:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1187:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1188:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1189:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1190:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1191:{rom_a,rom_theta}<={9'd42,9'd184}; +12'd1192:{rom_a,rom_theta}<={9'd42,9'd185}; +12'd1193:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1194:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1195:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1196:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1197:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1198:{rom_a,rom_theta}<={9'd43,9'd185}; +12'd1199:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1200:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1201:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1202:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1203:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1204:{rom_a,rom_theta}<={9'd43,9'd186}; +12'd1205:{rom_a,rom_theta}<={9'd43,9'd187}; +12'd1206:{rom_a,rom_theta}<={9'd43,9'd187}; +12'd1207:{rom_a,rom_theta}<={9'd44,9'd187}; +12'd1208:{rom_a,rom_theta}<={9'd44,9'd187}; +12'd1209:{rom_a,rom_theta}<={9'd44,9'd187}; +12'd1210:{rom_a,rom_theta}<={9'd44,9'd187}; +12'd1211:{rom_a,rom_theta}<={9'd44,9'd187}; +12'd1212:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1213:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1214:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1215:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1216:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1217:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1218:{rom_a,rom_theta}<={9'd44,9'd188}; +12'd1219:{rom_a,rom_theta}<={9'd44,9'd189}; +12'd1220:{rom_a,rom_theta}<={9'd44,9'd189}; +12'd1221:{rom_a,rom_theta}<={9'd45,9'd189}; +12'd1222:{rom_a,rom_theta}<={9'd45,9'd189}; +12'd1223:{rom_a,rom_theta}<={9'd45,9'd189}; +12'd1224:{rom_a,rom_theta}<={9'd45,9'd189}; +12'd1225:{rom_a,rom_theta}<={9'd45,9'd189}; +12'd1226:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1227:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1228:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1229:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1230:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1231:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1232:{rom_a,rom_theta}<={9'd45,9'd190}; +12'd1233:{rom_a,rom_theta}<={9'd45,9'd191}; +12'd1234:{rom_a,rom_theta}<={9'd45,9'd191}; +12'd1235:{rom_a,rom_theta}<={9'd46,9'd191}; +12'd1236:{rom_a,rom_theta}<={9'd46,9'd191}; +12'd1237:{rom_a,rom_theta}<={9'd46,9'd191}; +12'd1238:{rom_a,rom_theta}<={9'd46,9'd191}; +12'd1239:{rom_a,rom_theta}<={9'd46,9'd191}; +12'd1240:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1241:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1242:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1243:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1244:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1245:{rom_a,rom_theta}<={9'd46,9'd192}; +12'd1246:{rom_a,rom_theta}<={9'd46,9'd193}; +12'd1247:{rom_a,rom_theta}<={9'd46,9'd193}; +12'd1248:{rom_a,rom_theta}<={9'd46,9'd193}; +12'd1249:{rom_a,rom_theta}<={9'd47,9'd193}; +12'd1250:{rom_a,rom_theta}<={9'd47,9'd193}; +12'd1251:{rom_a,rom_theta}<={9'd47,9'd193}; +12'd1252:{rom_a,rom_theta}<={9'd47,9'd193}; +12'd1253:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1254:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1255:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1256:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1257:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1258:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1259:{rom_a,rom_theta}<={9'd47,9'd194}; +12'd1260:{rom_a,rom_theta}<={9'd47,9'd195}; +12'd1261:{rom_a,rom_theta}<={9'd47,9'd195}; +12'd1262:{rom_a,rom_theta}<={9'd48,9'd195}; +12'd1263:{rom_a,rom_theta}<={9'd48,9'd195}; +12'd1264:{rom_a,rom_theta}<={9'd48,9'd195}; +12'd1265:{rom_a,rom_theta}<={9'd48,9'd195}; +12'd1266:{rom_a,rom_theta}<={9'd48,9'd195}; +12'd1267:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1268:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1269:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1270:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1271:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1272:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1273:{rom_a,rom_theta}<={9'd48,9'd196}; +12'd1274:{rom_a,rom_theta}<={9'd48,9'd197}; +12'd1275:{rom_a,rom_theta}<={9'd48,9'd197}; +12'd1276:{rom_a,rom_theta}<={9'd49,9'd197}; +12'd1277:{rom_a,rom_theta}<={9'd49,9'd197}; +12'd1278:{rom_a,rom_theta}<={9'd49,9'd197}; +12'd1279:{rom_a,rom_theta}<={9'd49,9'd197}; +12'd1280:{rom_a,rom_theta}<={9'd49,9'd197}; +12'd1281:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1282:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1283:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1284:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1285:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1286:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1287:{rom_a,rom_theta}<={9'd49,9'd198}; +12'd1288:{rom_a,rom_theta}<={9'd49,9'd199}; +12'd1289:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1290:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1291:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1292:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1293:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1294:{rom_a,rom_theta}<={9'd50,9'd199}; +12'd1295:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1296:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1297:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1298:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1299:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1300:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1301:{rom_a,rom_theta}<={9'd50,9'd200}; +12'd1302:{rom_a,rom_theta}<={9'd50,9'd201}; +12'd1303:{rom_a,rom_theta}<={9'd51,9'd201}; +12'd1304:{rom_a,rom_theta}<={9'd51,9'd201}; +12'd1305:{rom_a,rom_theta}<={9'd51,9'd201}; +12'd1306:{rom_a,rom_theta}<={9'd51,9'd201}; +12'd1307:{rom_a,rom_theta}<={9'd51,9'd201}; +12'd1308:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1309:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1310:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1311:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1312:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1313:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1314:{rom_a,rom_theta}<={9'd51,9'd202}; +12'd1315:{rom_a,rom_theta}<={9'd51,9'd203}; +12'd1316:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1317:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1318:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1319:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1320:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1321:{rom_a,rom_theta}<={9'd52,9'd203}; +12'd1322:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1323:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1324:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1325:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1326:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1327:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1328:{rom_a,rom_theta}<={9'd52,9'd204}; +12'd1329:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1330:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1331:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1332:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1333:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1334:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1335:{rom_a,rom_theta}<={9'd53,9'd205}; +12'd1336:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1337:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1338:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1339:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1340:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1341:{rom_a,rom_theta}<={9'd53,9'd206}; +12'd1342:{rom_a,rom_theta}<={9'd54,9'd206}; +12'd1343:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1344:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1345:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1346:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1347:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1348:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1349:{rom_a,rom_theta}<={9'd54,9'd207}; +12'd1350:{rom_a,rom_theta}<={9'd54,9'd208}; +12'd1351:{rom_a,rom_theta}<={9'd54,9'd208}; +12'd1352:{rom_a,rom_theta}<={9'd54,9'd208}; +12'd1353:{rom_a,rom_theta}<={9'd54,9'd208}; +12'd1354:{rom_a,rom_theta}<={9'd54,9'd208}; +12'd1355:{rom_a,rom_theta}<={9'd55,9'd208}; +12'd1356:{rom_a,rom_theta}<={9'd55,9'd208}; +12'd1357:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1358:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1359:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1360:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1361:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1362:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1363:{rom_a,rom_theta}<={9'd55,9'd209}; +12'd1364:{rom_a,rom_theta}<={9'd55,9'd210}; +12'd1365:{rom_a,rom_theta}<={9'd55,9'd210}; +12'd1366:{rom_a,rom_theta}<={9'd55,9'd210}; +12'd1367:{rom_a,rom_theta}<={9'd56,9'd210}; +12'd1368:{rom_a,rom_theta}<={9'd56,9'd210}; +12'd1369:{rom_a,rom_theta}<={9'd56,9'd210}; +12'd1370:{rom_a,rom_theta}<={9'd56,9'd210}; +12'd1371:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1372:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1373:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1374:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1375:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1376:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1377:{rom_a,rom_theta}<={9'd56,9'd211}; +12'd1378:{rom_a,rom_theta}<={9'd56,9'd212}; +12'd1379:{rom_a,rom_theta}<={9'd56,9'd212}; +12'd1380:{rom_a,rom_theta}<={9'd57,9'd212}; +12'd1381:{rom_a,rom_theta}<={9'd57,9'd212}; +12'd1382:{rom_a,rom_theta}<={9'd57,9'd212}; +12'd1383:{rom_a,rom_theta}<={9'd57,9'd212}; +12'd1384:{rom_a,rom_theta}<={9'd57,9'd212}; +12'd1385:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1386:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1387:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1388:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1389:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1390:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1391:{rom_a,rom_theta}<={9'd57,9'd213}; +12'd1392:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1393:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1394:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1395:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1396:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1397:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1398:{rom_a,rom_theta}<={9'd58,9'd214}; +12'd1399:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1400:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1401:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1402:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1403:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1404:{rom_a,rom_theta}<={9'd58,9'd215}; +12'd1405:{rom_a,rom_theta}<={9'd59,9'd215}; +12'd1406:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1407:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1408:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1409:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1410:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1411:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1412:{rom_a,rom_theta}<={9'd59,9'd216}; +12'd1413:{rom_a,rom_theta}<={9'd59,9'd217}; +12'd1414:{rom_a,rom_theta}<={9'd59,9'd217}; +12'd1415:{rom_a,rom_theta}<={9'd59,9'd217}; +12'd1416:{rom_a,rom_theta}<={9'd59,9'd217}; +12'd1417:{rom_a,rom_theta}<={9'd60,9'd217}; +12'd1418:{rom_a,rom_theta}<={9'd60,9'd217}; +12'd1419:{rom_a,rom_theta}<={9'd60,9'd217}; +12'd1420:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1421:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1422:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1423:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1424:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1425:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1426:{rom_a,rom_theta}<={9'd60,9'd218}; +12'd1427:{rom_a,rom_theta}<={9'd60,9'd219}; +12'd1428:{rom_a,rom_theta}<={9'd60,9'd219}; +12'd1429:{rom_a,rom_theta}<={9'd61,9'd219}; +12'd1430:{rom_a,rom_theta}<={9'd61,9'd219}; +12'd1431:{rom_a,rom_theta}<={9'd61,9'd219}; +12'd1432:{rom_a,rom_theta}<={9'd61,9'd219}; +12'd1433:{rom_a,rom_theta}<={9'd61,9'd219}; +12'd1434:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1435:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1436:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1437:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1438:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1439:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1440:{rom_a,rom_theta}<={9'd61,9'd220}; +12'd1441:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1442:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1443:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1444:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1445:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1446:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1447:{rom_a,rom_theta}<={9'd62,9'd221}; +12'd1448:{rom_a,rom_theta}<={9'd62,9'd222}; +12'd1449:{rom_a,rom_theta}<={9'd62,9'd222}; +12'd1450:{rom_a,rom_theta}<={9'd62,9'd222}; +12'd1451:{rom_a,rom_theta}<={9'd62,9'd222}; +12'd1452:{rom_a,rom_theta}<={9'd62,9'd222}; +12'd1453:{rom_a,rom_theta}<={9'd63,9'd222}; +12'd1454:{rom_a,rom_theta}<={9'd63,9'd222}; +12'd1455:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1456:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1457:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1458:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1459:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1460:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1461:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1462:{rom_a,rom_theta}<={9'd63,9'd223}; +12'd1463:{rom_a,rom_theta}<={9'd63,9'd224}; +12'd1464:{rom_a,rom_theta}<={9'd63,9'd224}; +12'd1465:{rom_a,rom_theta}<={9'd64,9'd224}; +12'd1466:{rom_a,rom_theta}<={9'd64,9'd224}; +12'd1467:{rom_a,rom_theta}<={9'd64,9'd224}; +12'd1468:{rom_a,rom_theta}<={9'd64,9'd224}; +12'd1469:{rom_a,rom_theta}<={9'd64,9'd224}; +12'd1470:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1471:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1472:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1473:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1474:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1475:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1476:{rom_a,rom_theta}<={9'd64,9'd225}; +12'd1477:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1478:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1479:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1480:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1481:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1482:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1483:{rom_a,rom_theta}<={9'd65,9'd226}; +12'd1484:{rom_a,rom_theta}<={9'd65,9'd227}; +12'd1485:{rom_a,rom_theta}<={9'd65,9'd227}; +12'd1486:{rom_a,rom_theta}<={9'd65,9'd227}; +12'd1487:{rom_a,rom_theta}<={9'd65,9'd227}; +12'd1488:{rom_a,rom_theta}<={9'd65,9'd227}; +12'd1489:{rom_a,rom_theta}<={9'd66,9'd227}; +12'd1490:{rom_a,rom_theta}<={9'd66,9'd227}; +12'd1491:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1492:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1493:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1494:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1495:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1496:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1497:{rom_a,rom_theta}<={9'd66,9'd228}; +12'd1498:{rom_a,rom_theta}<={9'd66,9'd229}; +12'd1499:{rom_a,rom_theta}<={9'd66,9'd229}; +12'd1500:{rom_a,rom_theta}<={9'd67,9'd229}; +12'd1501:{rom_a,rom_theta}<={9'd67,9'd229}; +12'd1502:{rom_a,rom_theta}<={9'd67,9'd229}; +12'd1503:{rom_a,rom_theta}<={9'd67,9'd229}; +12'd1504:{rom_a,rom_theta}<={9'd67,9'd229}; +12'd1505:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1506:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1507:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1508:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1509:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1510:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1511:{rom_a,rom_theta}<={9'd67,9'd230}; +12'd1512:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1513:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1514:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1515:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1516:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1517:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1518:{rom_a,rom_theta}<={9'd68,9'd231}; +12'd1519:{rom_a,rom_theta}<={9'd68,9'd232}; +12'd1520:{rom_a,rom_theta}<={9'd68,9'd232}; +12'd1521:{rom_a,rom_theta}<={9'd68,9'd232}; +12'd1522:{rom_a,rom_theta}<={9'd68,9'd232}; +12'd1523:{rom_a,rom_theta}<={9'd68,9'd232}; +12'd1524:{rom_a,rom_theta}<={9'd69,9'd232}; +12'd1525:{rom_a,rom_theta}<={9'd69,9'd232}; +12'd1526:{rom_a,rom_theta}<={9'd69,9'd232}; +12'd1527:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1528:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1529:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1530:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1531:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1532:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1533:{rom_a,rom_theta}<={9'd69,9'd233}; +12'd1534:{rom_a,rom_theta}<={9'd69,9'd234}; +12'd1535:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1536:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1537:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1538:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1539:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1540:{rom_a,rom_theta}<={9'd70,9'd234}; +12'd1541:{rom_a,rom_theta}<={9'd70,9'd235}; +12'd1542:{rom_a,rom_theta}<={9'd70,9'd235}; +12'd1543:{rom_a,rom_theta}<={9'd70,9'd235}; +12'd1544:{rom_a,rom_theta}<={9'd70,9'd235}; +12'd1545:{rom_a,rom_theta}<={9'd70,9'd235}; +12'd1546:{rom_a,rom_theta}<={9'd71,9'd235}; +12'd1547:{rom_a,rom_theta}<={9'd71,9'd235}; +12'd1548:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1549:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1550:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1551:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1552:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1553:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1554:{rom_a,rom_theta}<={9'd71,9'd236}; +12'd1555:{rom_a,rom_theta}<={9'd71,9'd237}; +12'd1556:{rom_a,rom_theta}<={9'd71,9'd237}; +12'd1557:{rom_a,rom_theta}<={9'd71,9'd237}; +12'd1558:{rom_a,rom_theta}<={9'd72,9'd237}; +12'd1559:{rom_a,rom_theta}<={9'd72,9'd237}; +12'd1560:{rom_a,rom_theta}<={9'd72,9'd237}; +12'd1561:{rom_a,rom_theta}<={9'd72,9'd237}; +12'd1562:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1563:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1564:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1565:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1566:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1567:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1568:{rom_a,rom_theta}<={9'd72,9'd238}; +12'd1569:{rom_a,rom_theta}<={9'd73,9'd238}; +12'd1570:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1571:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1572:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1573:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1574:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1575:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1576:{rom_a,rom_theta}<={9'd73,9'd239}; +12'd1577:{rom_a,rom_theta}<={9'd73,9'd240}; +12'd1578:{rom_a,rom_theta}<={9'd73,9'd240}; +12'd1579:{rom_a,rom_theta}<={9'd73,9'd240}; +12'd1580:{rom_a,rom_theta}<={9'd74,9'd240}; +12'd1581:{rom_a,rom_theta}<={9'd74,9'd240}; +12'd1582:{rom_a,rom_theta}<={9'd74,9'd240}; +12'd1583:{rom_a,rom_theta}<={9'd74,9'd240}; +12'd1584:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1585:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1586:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1587:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1588:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1589:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1590:{rom_a,rom_theta}<={9'd74,9'd241}; +12'd1591:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1592:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1593:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1594:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1595:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1596:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1597:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1598:{rom_a,rom_theta}<={9'd75,9'd242}; +12'd1599:{rom_a,rom_theta}<={9'd75,9'd243}; +12'd1600:{rom_a,rom_theta}<={9'd75,9'd243}; +12'd1601:{rom_a,rom_theta}<={9'd75,9'd243}; +12'd1602:{rom_a,rom_theta}<={9'd76,9'd243}; +12'd1603:{rom_a,rom_theta}<={9'd76,9'd243}; +12'd1604:{rom_a,rom_theta}<={9'd76,9'd243}; +12'd1605:{rom_a,rom_theta}<={9'd76,9'd243}; +12'd1606:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1607:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1608:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1609:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1610:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1611:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1612:{rom_a,rom_theta}<={9'd76,9'd244}; +12'd1613:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1614:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1615:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1616:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1617:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1618:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1619:{rom_a,rom_theta}<={9'd77,9'd245}; +12'd1620:{rom_a,rom_theta}<={9'd77,9'd246}; +12'd1621:{rom_a,rom_theta}<={9'd77,9'd246}; +12'd1622:{rom_a,rom_theta}<={9'd77,9'd246}; +12'd1623:{rom_a,rom_theta}<={9'd77,9'd246}; +12'd1624:{rom_a,rom_theta}<={9'd78,9'd246}; +12'd1625:{rom_a,rom_theta}<={9'd78,9'd246}; +12'd1626:{rom_a,rom_theta}<={9'd78,9'd246}; +12'd1627:{rom_a,rom_theta}<={9'd78,9'd246}; +12'd1628:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1629:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1630:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1631:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1632:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1633:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1634:{rom_a,rom_theta}<={9'd78,9'd247}; +12'd1635:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1636:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1637:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1638:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1639:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1640:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1641:{rom_a,rom_theta}<={9'd79,9'd248}; +12'd1642:{rom_a,rom_theta}<={9'd79,9'd249}; +12'd1643:{rom_a,rom_theta}<={9'd79,9'd249}; +12'd1644:{rom_a,rom_theta}<={9'd79,9'd249}; +12'd1645:{rom_a,rom_theta}<={9'd79,9'd249}; +12'd1646:{rom_a,rom_theta}<={9'd80,9'd249}; +12'd1647:{rom_a,rom_theta}<={9'd80,9'd249}; +12'd1648:{rom_a,rom_theta}<={9'd80,9'd249}; +12'd1649:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1650:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1651:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1652:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1653:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1654:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1655:{rom_a,rom_theta}<={9'd80,9'd250}; +12'd1656:{rom_a,rom_theta}<={9'd81,9'd250}; +12'd1657:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1658:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1659:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1660:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1661:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1662:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1663:{rom_a,rom_theta}<={9'd81,9'd251}; +12'd1664:{rom_a,rom_theta}<={9'd81,9'd252}; +12'd1665:{rom_a,rom_theta}<={9'd81,9'd252}; +12'd1666:{rom_a,rom_theta}<={9'd81,9'd252}; +12'd1667:{rom_a,rom_theta}<={9'd82,9'd252}; +12'd1668:{rom_a,rom_theta}<={9'd82,9'd252}; +12'd1669:{rom_a,rom_theta}<={9'd82,9'd252}; +12'd1670:{rom_a,rom_theta}<={9'd82,9'd252}; +12'd1671:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1672:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1673:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1674:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1675:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1676:{rom_a,rom_theta}<={9'd82,9'd253}; +12'd1677:{rom_a,rom_theta}<={9'd83,9'd253}; +12'd1678:{rom_a,rom_theta}<={9'd83,9'd253}; +12'd1679:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1680:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1681:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1682:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1683:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1684:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1685:{rom_a,rom_theta}<={9'd83,9'd254}; +12'd1686:{rom_a,rom_theta}<={9'd83,9'd255}; +12'd1687:{rom_a,rom_theta}<={9'd83,9'd255}; +12'd1688:{rom_a,rom_theta}<={9'd84,9'd255}; +12'd1689:{rom_a,rom_theta}<={9'd84,9'd255}; +12'd1690:{rom_a,rom_theta}<={9'd84,9'd255}; +12'd1691:{rom_a,rom_theta}<={9'd84,9'd255}; +12'd1692:{rom_a,rom_theta}<={9'd84,9'd255}; +12'd1693:{rom_a,rom_theta}<={9'd84,9'd256}; +12'd1694:{rom_a,rom_theta}<={9'd84,9'd256}; +12'd1695:{rom_a,rom_theta}<={9'd84,9'd256}; +12'd1696:{rom_a,rom_theta}<={9'd84,9'd256}; +12'd1697:{rom_a,rom_theta}<={9'd84,9'd256}; +12'd1698:{rom_a,rom_theta}<={9'd85,9'd256}; +12'd1699:{rom_a,rom_theta}<={9'd85,9'd256}; +12'd1700:{rom_a,rom_theta}<={9'd85,9'd256}; +12'd1701:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1702:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1703:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1704:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1705:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1706:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1707:{rom_a,rom_theta}<={9'd85,9'd257}; +12'd1708:{rom_a,rom_theta}<={9'd85,9'd258}; +12'd1709:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1710:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1711:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1712:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1713:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1714:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1715:{rom_a,rom_theta}<={9'd86,9'd258}; +12'd1716:{rom_a,rom_theta}<={9'd86,9'd259}; +12'd1717:{rom_a,rom_theta}<={9'd86,9'd259}; +12'd1718:{rom_a,rom_theta}<={9'd86,9'd259}; +12'd1719:{rom_a,rom_theta}<={9'd87,9'd259}; +12'd1720:{rom_a,rom_theta}<={9'd87,9'd259}; +12'd1721:{rom_a,rom_theta}<={9'd87,9'd259}; +12'd1722:{rom_a,rom_theta}<={9'd87,9'd259}; +12'd1723:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1724:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1725:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1726:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1727:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1728:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1729:{rom_a,rom_theta}<={9'd87,9'd260}; +12'd1730:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1731:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1732:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1733:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1734:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1735:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1736:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1737:{rom_a,rom_theta}<={9'd88,9'd261}; +12'd1738:{rom_a,rom_theta}<={9'd88,9'd262}; +12'd1739:{rom_a,rom_theta}<={9'd88,9'd262}; +12'd1740:{rom_a,rom_theta}<={9'd89,9'd262}; +12'd1741:{rom_a,rom_theta}<={9'd89,9'd262}; +12'd1742:{rom_a,rom_theta}<={9'd89,9'd262}; +12'd1743:{rom_a,rom_theta}<={9'd89,9'd262}; +12'd1744:{rom_a,rom_theta}<={9'd89,9'd262}; +12'd1745:{rom_a,rom_theta}<={9'd89,9'd263}; +12'd1746:{rom_a,rom_theta}<={9'd89,9'd263}; +12'd1747:{rom_a,rom_theta}<={9'd89,9'd263}; +12'd1748:{rom_a,rom_theta}<={9'd89,9'd263}; +12'd1749:{rom_a,rom_theta}<={9'd89,9'd263}; +12'd1750:{rom_a,rom_theta}<={9'd90,9'd263}; +12'd1751:{rom_a,rom_theta}<={9'd90,9'd263}; +12'd1752:{rom_a,rom_theta}<={9'd90,9'd263}; +12'd1753:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1754:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1755:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1756:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1757:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1758:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1759:{rom_a,rom_theta}<={9'd90,9'd264}; +12'd1760:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1761:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1762:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1763:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1764:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1765:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1766:{rom_a,rom_theta}<={9'd91,9'd265}; +12'd1767:{rom_a,rom_theta}<={9'd91,9'd266}; +12'd1768:{rom_a,rom_theta}<={9'd91,9'd266}; +12'd1769:{rom_a,rom_theta}<={9'd91,9'd266}; +12'd1770:{rom_a,rom_theta}<={9'd92,9'd266}; +12'd1771:{rom_a,rom_theta}<={9'd92,9'd266}; +12'd1772:{rom_a,rom_theta}<={9'd92,9'd266}; +12'd1773:{rom_a,rom_theta}<={9'd92,9'd266}; +12'd1774:{rom_a,rom_theta}<={9'd92,9'd266}; +12'd1775:{rom_a,rom_theta}<={9'd92,9'd267}; +12'd1776:{rom_a,rom_theta}<={9'd92,9'd267}; +12'd1777:{rom_a,rom_theta}<={9'd92,9'd267}; +12'd1778:{rom_a,rom_theta}<={9'd92,9'd267}; +12'd1779:{rom_a,rom_theta}<={9'd92,9'd267}; +12'd1780:{rom_a,rom_theta}<={9'd93,9'd267}; +12'd1781:{rom_a,rom_theta}<={9'd93,9'd267}; +12'd1782:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1783:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1784:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1785:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1786:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1787:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1788:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1789:{rom_a,rom_theta}<={9'd93,9'd268}; +12'd1790:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1791:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1792:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1793:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1794:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1795:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1796:{rom_a,rom_theta}<={9'd94,9'd269}; +12'd1797:{rom_a,rom_theta}<={9'd94,9'd270}; +12'd1798:{rom_a,rom_theta}<={9'd94,9'd270}; +12'd1799:{rom_a,rom_theta}<={9'd94,9'd270}; +12'd1800:{rom_a,rom_theta}<={9'd95,9'd270}; +12'd1801:{rom_a,rom_theta}<={9'd95,9'd270}; +12'd1802:{rom_a,rom_theta}<={9'd95,9'd270}; +12'd1803:{rom_a,rom_theta}<={9'd95,9'd270}; +12'd1804:{rom_a,rom_theta}<={9'd95,9'd270}; +12'd1805:{rom_a,rom_theta}<={9'd95,9'd271}; +12'd1806:{rom_a,rom_theta}<={9'd95,9'd271}; +12'd1807:{rom_a,rom_theta}<={9'd95,9'd271}; +12'd1808:{rom_a,rom_theta}<={9'd95,9'd271}; +12'd1809:{rom_a,rom_theta}<={9'd95,9'd271}; +12'd1810:{rom_a,rom_theta}<={9'd96,9'd271}; +12'd1811:{rom_a,rom_theta}<={9'd96,9'd271}; +12'd1812:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1813:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1814:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1815:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1816:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1817:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1818:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1819:{rom_a,rom_theta}<={9'd96,9'd272}; +12'd1820:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1821:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1822:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1823:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1824:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1825:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1826:{rom_a,rom_theta}<={9'd97,9'd273}; +12'd1827:{rom_a,rom_theta}<={9'd97,9'd274}; +12'd1828:{rom_a,rom_theta}<={9'd97,9'd274}; +12'd1829:{rom_a,rom_theta}<={9'd97,9'd274}; +12'd1830:{rom_a,rom_theta}<={9'd98,9'd274}; +12'd1831:{rom_a,rom_theta}<={9'd98,9'd274}; +12'd1832:{rom_a,rom_theta}<={9'd98,9'd274}; +12'd1833:{rom_a,rom_theta}<={9'd98,9'd274}; +12'd1834:{rom_a,rom_theta}<={9'd98,9'd274}; +12'd1835:{rom_a,rom_theta}<={9'd98,9'd275}; +12'd1836:{rom_a,rom_theta}<={9'd98,9'd275}; +12'd1837:{rom_a,rom_theta}<={9'd98,9'd275}; +12'd1838:{rom_a,rom_theta}<={9'd98,9'd275}; +12'd1839:{rom_a,rom_theta}<={9'd98,9'd275}; +12'd1840:{rom_a,rom_theta}<={9'd99,9'd275}; +12'd1841:{rom_a,rom_theta}<={9'd99,9'd275}; +12'd1842:{rom_a,rom_theta}<={9'd99,9'd275}; +12'd1843:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1844:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1845:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1846:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1847:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1848:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1849:{rom_a,rom_theta}<={9'd99,9'd276}; +12'd1850:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1851:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1852:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1853:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1854:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1855:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1856:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1857:{rom_a,rom_theta}<={9'd100,9'd277}; +12'd1858:{rom_a,rom_theta}<={9'd100,9'd278}; +12'd1859:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1860:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1861:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1862:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1863:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1864:{rom_a,rom_theta}<={9'd101,9'd278}; +12'd1865:{rom_a,rom_theta}<={9'd101,9'd279}; +12'd1866:{rom_a,rom_theta}<={9'd101,9'd279}; +12'd1867:{rom_a,rom_theta}<={9'd101,9'd279}; +12'd1868:{rom_a,rom_theta}<={9'd101,9'd279}; +12'd1869:{rom_a,rom_theta}<={9'd102,9'd279}; +12'd1870:{rom_a,rom_theta}<={9'd102,9'd279}; +12'd1871:{rom_a,rom_theta}<={9'd102,9'd279}; +12'd1872:{rom_a,rom_theta}<={9'd102,9'd279}; +12'd1873:{rom_a,rom_theta}<={9'd102,9'd280}; +12'd1874:{rom_a,rom_theta}<={9'd102,9'd280}; +12'd1875:{rom_a,rom_theta}<={9'd102,9'd280}; +12'd1876:{rom_a,rom_theta}<={9'd102,9'd280}; +12'd1877:{rom_a,rom_theta}<={9'd102,9'd280}; +12'd1878:{rom_a,rom_theta}<={9'd103,9'd280}; +12'd1879:{rom_a,rom_theta}<={9'd103,9'd280}; +12'd1880:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1881:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1882:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1883:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1884:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1885:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1886:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1887:{rom_a,rom_theta}<={9'd103,9'd281}; +12'd1888:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1889:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1890:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1891:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1892:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1893:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1894:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1895:{rom_a,rom_theta}<={9'd104,9'd282}; +12'd1896:{rom_a,rom_theta}<={9'd104,9'd283}; +12'd1897:{rom_a,rom_theta}<={9'd104,9'd283}; +12'd1898:{rom_a,rom_theta}<={9'd105,9'd283}; +12'd1899:{rom_a,rom_theta}<={9'd105,9'd283}; +12'd1900:{rom_a,rom_theta}<={9'd105,9'd283}; +12'd1901:{rom_a,rom_theta}<={9'd105,9'd283}; +12'd1902:{rom_a,rom_theta}<={9'd105,9'd283}; +12'd1903:{rom_a,rom_theta}<={9'd105,9'd284}; +12'd1904:{rom_a,rom_theta}<={9'd105,9'd284}; +12'd1905:{rom_a,rom_theta}<={9'd105,9'd284}; +12'd1906:{rom_a,rom_theta}<={9'd105,9'd284}; +12'd1907:{rom_a,rom_theta}<={9'd106,9'd284}; +12'd1908:{rom_a,rom_theta}<={9'd106,9'd284}; +12'd1909:{rom_a,rom_theta}<={9'd106,9'd284}; +12'd1910:{rom_a,rom_theta}<={9'd106,9'd284}; +12'd1911:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1912:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1913:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1914:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1915:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1916:{rom_a,rom_theta}<={9'd106,9'd285}; +12'd1917:{rom_a,rom_theta}<={9'd107,9'd285}; +12'd1918:{rom_a,rom_theta}<={9'd107,9'd285}; +12'd1919:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1920:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1921:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1922:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1923:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1924:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1925:{rom_a,rom_theta}<={9'd107,9'd286}; +12'd1926:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1927:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1928:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1929:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1930:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1931:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1932:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1933:{rom_a,rom_theta}<={9'd108,9'd287}; +12'd1934:{rom_a,rom_theta}<={9'd108,9'd288}; +12'd1935:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1936:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1937:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1938:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1939:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1940:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1941:{rom_a,rom_theta}<={9'd109,9'd288}; +12'd1942:{rom_a,rom_theta}<={9'd109,9'd289}; +12'd1943:{rom_a,rom_theta}<={9'd109,9'd289}; +12'd1944:{rom_a,rom_theta}<={9'd109,9'd289}; +12'd1945:{rom_a,rom_theta}<={9'd110,9'd289}; +12'd1946:{rom_a,rom_theta}<={9'd110,9'd289}; +12'd1947:{rom_a,rom_theta}<={9'd110,9'd289}; +12'd1948:{rom_a,rom_theta}<={9'd110,9'd289}; +12'd1949:{rom_a,rom_theta}<={9'd110,9'd290}; +12'd1950:{rom_a,rom_theta}<={9'd110,9'd290}; +12'd1951:{rom_a,rom_theta}<={9'd110,9'd290}; +12'd1952:{rom_a,rom_theta}<={9'd110,9'd290}; +12'd1953:{rom_a,rom_theta}<={9'd110,9'd290}; +12'd1954:{rom_a,rom_theta}<={9'd111,9'd290}; +12'd1955:{rom_a,rom_theta}<={9'd111,9'd290}; +12'd1956:{rom_a,rom_theta}<={9'd111,9'd290}; +12'd1957:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1958:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1959:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1960:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1961:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1962:{rom_a,rom_theta}<={9'd111,9'd291}; +12'd1963:{rom_a,rom_theta}<={9'd112,9'd291}; +12'd1964:{rom_a,rom_theta}<={9'd112,9'd291}; +12'd1965:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1966:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1967:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1968:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1969:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1970:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1971:{rom_a,rom_theta}<={9'd112,9'd292}; +12'd1972:{rom_a,rom_theta}<={9'd112,9'd293}; +12'd1973:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1974:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1975:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1976:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1977:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1978:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1979:{rom_a,rom_theta}<={9'd113,9'd293}; +12'd1980:{rom_a,rom_theta}<={9'd113,9'd294}; +12'd1981:{rom_a,rom_theta}<={9'd113,9'd294}; +12'd1982:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1983:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1984:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1985:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1986:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1987:{rom_a,rom_theta}<={9'd114,9'd294}; +12'd1988:{rom_a,rom_theta}<={9'd114,9'd295}; +12'd1989:{rom_a,rom_theta}<={9'd114,9'd295}; +12'd1990:{rom_a,rom_theta}<={9'd114,9'd295}; +12'd1991:{rom_a,rom_theta}<={9'd115,9'd295}; +12'd1992:{rom_a,rom_theta}<={9'd115,9'd295}; +12'd1993:{rom_a,rom_theta}<={9'd115,9'd295}; +12'd1994:{rom_a,rom_theta}<={9'd115,9'd295}; +12'd1995:{rom_a,rom_theta}<={9'd115,9'd295}; +12'd1996:{rom_a,rom_theta}<={9'd115,9'd296}; +12'd1997:{rom_a,rom_theta}<={9'd115,9'd296}; +12'd1998:{rom_a,rom_theta}<={9'd115,9'd296}; +12'd1999:{rom_a,rom_theta}<={9'd115,9'd296}; +12'd2000:{rom_a,rom_theta}<={9'd116,9'd296}; +12'd2001:{rom_a,rom_theta}<={9'd116,9'd296}; +12'd2002:{rom_a,rom_theta}<={9'd116,9'd296}; +12'd2003:{rom_a,rom_theta}<={9'd116,9'd296}; +12'd2004:{rom_a,rom_theta}<={9'd116,9'd297}; +12'd2005:{rom_a,rom_theta}<={9'd116,9'd297}; +12'd2006:{rom_a,rom_theta}<={9'd116,9'd297}; +12'd2007:{rom_a,rom_theta}<={9'd116,9'd297}; +12'd2008:{rom_a,rom_theta}<={9'd116,9'd297}; +12'd2009:{rom_a,rom_theta}<={9'd117,9'd297}; +12'd2010:{rom_a,rom_theta}<={9'd117,9'd297}; +12'd2011:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2012:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2013:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2014:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2015:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2016:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2017:{rom_a,rom_theta}<={9'd117,9'd298}; +12'd2018:{rom_a,rom_theta}<={9'd118,9'd298}; +12'd2019:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2020:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2021:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2022:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2023:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2024:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2025:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2026:{rom_a,rom_theta}<={9'd118,9'd299}; +12'd2027:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2028:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2029:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2030:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2031:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2032:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2033:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2034:{rom_a,rom_theta}<={9'd119,9'd300}; +12'd2035:{rom_a,rom_theta}<={9'd119,9'd301}; +12'd2036:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2037:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2038:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2039:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2040:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2041:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2042:{rom_a,rom_theta}<={9'd120,9'd301}; +12'd2043:{rom_a,rom_theta}<={9'd120,9'd302}; +12'd2044:{rom_a,rom_theta}<={9'd120,9'd302}; +12'd2045:{rom_a,rom_theta}<={9'd121,9'd302}; +12'd2046:{rom_a,rom_theta}<={9'd121,9'd302}; +12'd2047:{rom_a,rom_theta}<={9'd121,9'd302}; +12'd2048:{rom_a,rom_theta}<={9'd121,9'd302}; +12'd2049:{rom_a,rom_theta}<={9'd121,9'd302}; +12'd2050:{rom_a,rom_theta}<={9'd121,9'd303}; +12'd2051:{rom_a,rom_theta}<={9'd121,9'd303}; +12'd2052:{rom_a,rom_theta}<={9'd121,9'd303}; +12'd2053:{rom_a,rom_theta}<={9'd121,9'd303}; +12'd2054:{rom_a,rom_theta}<={9'd122,9'd303}; +12'd2055:{rom_a,rom_theta}<={9'd122,9'd303}; +12'd2056:{rom_a,rom_theta}<={9'd122,9'd303}; +12'd2057:{rom_a,rom_theta}<={9'd122,9'd303}; +12'd2058:{rom_a,rom_theta}<={9'd122,9'd304}; +12'd2059:{rom_a,rom_theta}<={9'd122,9'd304}; +12'd2060:{rom_a,rom_theta}<={9'd122,9'd304}; +12'd2061:{rom_a,rom_theta}<={9'd122,9'd304}; +12'd2062:{rom_a,rom_theta}<={9'd122,9'd304}; +12'd2063:{rom_a,rom_theta}<={9'd123,9'd304}; +12'd2064:{rom_a,rom_theta}<={9'd123,9'd304}; +12'd2065:{rom_a,rom_theta}<={9'd123,9'd304}; +12'd2066:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2067:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2068:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2069:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2070:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2071:{rom_a,rom_theta}<={9'd123,9'd305}; +12'd2072:{rom_a,rom_theta}<={9'd124,9'd305}; +12'd2073:{rom_a,rom_theta}<={9'd124,9'd305}; +12'd2074:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2075:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2076:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2077:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2078:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2079:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2080:{rom_a,rom_theta}<={9'd124,9'd306}; +12'd2081:{rom_a,rom_theta}<={9'd125,9'd306}; +12'd2082:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2083:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2084:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2085:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2086:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2087:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2088:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2089:{rom_a,rom_theta}<={9'd125,9'd307}; +12'd2090:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2091:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2092:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2093:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2094:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2095:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2096:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2097:{rom_a,rom_theta}<={9'd126,9'd308}; +12'd2098:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2099:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2100:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2101:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2102:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2103:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2104:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2105:{rom_a,rom_theta}<={9'd127,9'd309}; +12'd2106:{rom_a,rom_theta}<={9'd127,9'd310}; +12'd2107:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2108:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2109:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2110:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2111:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2112:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2113:{rom_a,rom_theta}<={9'd128,9'd310}; +12'd2114:{rom_a,rom_theta}<={9'd128,9'd311}; +12'd2115:{rom_a,rom_theta}<={9'd128,9'd311}; +12'd2116:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2117:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2118:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2119:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2120:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2121:{rom_a,rom_theta}<={9'd129,9'd311}; +12'd2122:{rom_a,rom_theta}<={9'd129,9'd312}; +12'd2123:{rom_a,rom_theta}<={9'd129,9'd312}; +12'd2124:{rom_a,rom_theta}<={9'd129,9'd312}; +12'd2125:{rom_a,rom_theta}<={9'd130,9'd312}; +12'd2126:{rom_a,rom_theta}<={9'd130,9'd312}; +12'd2127:{rom_a,rom_theta}<={9'd130,9'd312}; +12'd2128:{rom_a,rom_theta}<={9'd130,9'd312}; +12'd2129:{rom_a,rom_theta}<={9'd130,9'd312}; +12'd2130:{rom_a,rom_theta}<={9'd130,9'd313}; +12'd2131:{rom_a,rom_theta}<={9'd130,9'd313}; +12'd2132:{rom_a,rom_theta}<={9'd130,9'd313}; +12'd2133:{rom_a,rom_theta}<={9'd131,9'd313}; +12'd2134:{rom_a,rom_theta}<={9'd131,9'd313}; +12'd2135:{rom_a,rom_theta}<={9'd131,9'd313}; +12'd2136:{rom_a,rom_theta}<={9'd131,9'd313}; +12'd2137:{rom_a,rom_theta}<={9'd131,9'd313}; +12'd2138:{rom_a,rom_theta}<={9'd131,9'd314}; +12'd2139:{rom_a,rom_theta}<={9'd131,9'd314}; +12'd2140:{rom_a,rom_theta}<={9'd131,9'd314}; +12'd2141:{rom_a,rom_theta}<={9'd131,9'd314}; +12'd2142:{rom_a,rom_theta}<={9'd132,9'd314}; +12'd2143:{rom_a,rom_theta}<={9'd132,9'd314}; +12'd2144:{rom_a,rom_theta}<={9'd132,9'd314}; +12'd2145:{rom_a,rom_theta}<={9'd132,9'd314}; +12'd2146:{rom_a,rom_theta}<={9'd132,9'd315}; +12'd2147:{rom_a,rom_theta}<={9'd132,9'd315}; +12'd2148:{rom_a,rom_theta}<={9'd132,9'd315}; +12'd2149:{rom_a,rom_theta}<={9'd132,9'd315}; +12'd2150:{rom_a,rom_theta}<={9'd132,9'd315}; +12'd2151:{rom_a,rom_theta}<={9'd133,9'd315}; +12'd2152:{rom_a,rom_theta}<={9'd133,9'd315}; +12'd2153:{rom_a,rom_theta}<={9'd133,9'd315}; +12'd2154:{rom_a,rom_theta}<={9'd133,9'd316}; +12'd2155:{rom_a,rom_theta}<={9'd133,9'd316}; +12'd2156:{rom_a,rom_theta}<={9'd133,9'd316}; +12'd2157:{rom_a,rom_theta}<={9'd133,9'd316}; +12'd2158:{rom_a,rom_theta}<={9'd133,9'd316}; +12'd2159:{rom_a,rom_theta}<={9'd134,9'd316}; +12'd2160:{rom_a,rom_theta}<={9'd134,9'd316}; +12'd2161:{rom_a,rom_theta}<={9'd134,9'd316}; +12'd2162:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2163:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2164:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2165:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2166:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2167:{rom_a,rom_theta}<={9'd134,9'd317}; +12'd2168:{rom_a,rom_theta}<={9'd135,9'd317}; +12'd2169:{rom_a,rom_theta}<={9'd135,9'd317}; +12'd2170:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2171:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2172:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2173:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2174:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2175:{rom_a,rom_theta}<={9'd135,9'd318}; +12'd2176:{rom_a,rom_theta}<={9'd136,9'd318}; +12'd2177:{rom_a,rom_theta}<={9'd136,9'd318}; +12'd2178:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2179:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2180:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2181:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2182:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2183:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2184:{rom_a,rom_theta}<={9'd136,9'd319}; +12'd2185:{rom_a,rom_theta}<={9'd137,9'd319}; +12'd2186:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2187:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2188:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2189:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2190:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2191:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2192:{rom_a,rom_theta}<={9'd137,9'd320}; +12'd2193:{rom_a,rom_theta}<={9'd138,9'd320}; +12'd2194:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2195:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2196:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2197:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2198:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2199:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2200:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2201:{rom_a,rom_theta}<={9'd138,9'd321}; +12'd2202:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2203:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2204:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2205:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2206:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2207:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2208:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2209:{rom_a,rom_theta}<={9'd139,9'd322}; +12'd2210:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2211:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2212:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2213:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2214:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2215:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2216:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2217:{rom_a,rom_theta}<={9'd140,9'd323}; +12'd2218:{rom_a,rom_theta}<={9'd140,9'd324}; +12'd2219:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2220:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2221:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2222:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2223:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2224:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2225:{rom_a,rom_theta}<={9'd141,9'd324}; +12'd2226:{rom_a,rom_theta}<={9'd141,9'd325}; +12'd2227:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2228:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2229:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2230:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2231:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2232:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2233:{rom_a,rom_theta}<={9'd142,9'd325}; +12'd2234:{rom_a,rom_theta}<={9'd142,9'd326}; +12'd2235:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2236:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2237:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2238:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2239:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2240:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2241:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2242:{rom_a,rom_theta}<={9'd143,9'd326}; +12'd2243:{rom_a,rom_theta}<={9'd143,9'd327}; +12'd2244:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2245:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2246:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2247:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2248:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2249:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2250:{rom_a,rom_theta}<={9'd144,9'd327}; +12'd2251:{rom_a,rom_theta}<={9'd144,9'd328}; +12'd2252:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2253:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2254:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2255:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2256:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2257:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2258:{rom_a,rom_theta}<={9'd145,9'd328}; +12'd2259:{rom_a,rom_theta}<={9'd145,9'd329}; +12'd2260:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2261:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2262:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2263:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2264:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2265:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2266:{rom_a,rom_theta}<={9'd146,9'd329}; +12'd2267:{rom_a,rom_theta}<={9'd146,9'd330}; +12'd2268:{rom_a,rom_theta}<={9'd146,9'd330}; +12'd2269:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2270:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2271:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2272:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2273:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2274:{rom_a,rom_theta}<={9'd147,9'd330}; +12'd2275:{rom_a,rom_theta}<={9'd147,9'd331}; +12'd2276:{rom_a,rom_theta}<={9'd147,9'd331}; +12'd2277:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2278:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2279:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2280:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2281:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2282:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2283:{rom_a,rom_theta}<={9'd148,9'd331}; +12'd2284:{rom_a,rom_theta}<={9'd148,9'd332}; +12'd2285:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2286:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2287:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2288:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2289:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2290:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2291:{rom_a,rom_theta}<={9'd149,9'd332}; +12'd2292:{rom_a,rom_theta}<={9'd149,9'd333}; +12'd2293:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2294:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2295:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2296:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2297:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2298:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2299:{rom_a,rom_theta}<={9'd150,9'd333}; +12'd2300:{rom_a,rom_theta}<={9'd150,9'd334}; +12'd2301:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2302:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2303:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2304:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2305:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2306:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2307:{rom_a,rom_theta}<={9'd151,9'd334}; +12'd2308:{rom_a,rom_theta}<={9'd151,9'd335}; +12'd2309:{rom_a,rom_theta}<={9'd151,9'd335}; +12'd2310:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2311:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2312:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2313:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2314:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2315:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2316:{rom_a,rom_theta}<={9'd152,9'd335}; +12'd2317:{rom_a,rom_theta}<={9'd152,9'd336}; +12'd2318:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2319:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2320:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2321:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2322:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2323:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2324:{rom_a,rom_theta}<={9'd153,9'd336}; +12'd2325:{rom_a,rom_theta}<={9'd153,9'd337}; +12'd2326:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2327:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2328:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2329:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2330:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2331:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2332:{rom_a,rom_theta}<={9'd154,9'd337}; +12'd2333:{rom_a,rom_theta}<={9'd154,9'd338}; +12'd2334:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2335:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2336:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2337:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2338:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2339:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2340:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2341:{rom_a,rom_theta}<={9'd155,9'd338}; +12'd2342:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2343:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2344:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2345:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2346:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2347:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2348:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2349:{rom_a,rom_theta}<={9'd156,9'd339}; +12'd2350:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2351:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2352:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2353:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2354:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2355:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2356:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2357:{rom_a,rom_theta}<={9'd157,9'd340}; +12'd2358:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2359:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2360:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2361:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2362:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2363:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2364:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2365:{rom_a,rom_theta}<={9'd158,9'd341}; +12'd2366:{rom_a,rom_theta}<={9'd159,9'd341}; +12'd2367:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2368:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2369:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2370:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2371:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2372:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2373:{rom_a,rom_theta}<={9'd159,9'd342}; +12'd2374:{rom_a,rom_theta}<={9'd160,9'd342}; +12'd2375:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2376:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2377:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2378:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2379:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2380:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2381:{rom_a,rom_theta}<={9'd160,9'd343}; +12'd2382:{rom_a,rom_theta}<={9'd161,9'd343}; +12'd2383:{rom_a,rom_theta}<={9'd161,9'd343}; +12'd2384:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2385:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2386:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2387:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2388:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2389:{rom_a,rom_theta}<={9'd161,9'd344}; +12'd2390:{rom_a,rom_theta}<={9'd162,9'd344}; +12'd2391:{rom_a,rom_theta}<={9'd162,9'd344}; +12'd2392:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2393:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2394:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2395:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2396:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2397:{rom_a,rom_theta}<={9'd162,9'd345}; +12'd2398:{rom_a,rom_theta}<={9'd163,9'd345}; +12'd2399:{rom_a,rom_theta}<={9'd163,9'd345}; +12'd2400:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2401:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2402:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2403:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2404:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2405:{rom_a,rom_theta}<={9'd163,9'd346}; +12'd2406:{rom_a,rom_theta}<={9'd164,9'd346}; +12'd2407:{rom_a,rom_theta}<={9'd164,9'd346}; +12'd2408:{rom_a,rom_theta}<={9'd164,9'd346}; +12'd2409:{rom_a,rom_theta}<={9'd164,9'd347}; +12'd2410:{rom_a,rom_theta}<={9'd164,9'd347}; +12'd2411:{rom_a,rom_theta}<={9'd164,9'd347}; +12'd2412:{rom_a,rom_theta}<={9'd164,9'd347}; +12'd2413:{rom_a,rom_theta}<={9'd164,9'd347}; +12'd2414:{rom_a,rom_theta}<={9'd165,9'd347}; +12'd2415:{rom_a,rom_theta}<={9'd165,9'd347}; +12'd2416:{rom_a,rom_theta}<={9'd165,9'd347}; +12'd2417:{rom_a,rom_theta}<={9'd165,9'd348}; +12'd2418:{rom_a,rom_theta}<={9'd165,9'd348}; +12'd2419:{rom_a,rom_theta}<={9'd165,9'd348}; +12'd2420:{rom_a,rom_theta}<={9'd165,9'd348}; +12'd2421:{rom_a,rom_theta}<={9'd165,9'd348}; +12'd2422:{rom_a,rom_theta}<={9'd166,9'd348}; +12'd2423:{rom_a,rom_theta}<={9'd166,9'd348}; +12'd2424:{rom_a,rom_theta}<={9'd166,9'd348}; +12'd2425:{rom_a,rom_theta}<={9'd166,9'd348}; +12'd2426:{rom_a,rom_theta}<={9'd166,9'd349}; +12'd2427:{rom_a,rom_theta}<={9'd166,9'd349}; +12'd2428:{rom_a,rom_theta}<={9'd166,9'd349}; +12'd2429:{rom_a,rom_theta}<={9'd167,9'd349}; +12'd2430:{rom_a,rom_theta}<={9'd167,9'd349}; +12'd2431:{rom_a,rom_theta}<={9'd167,9'd349}; +12'd2432:{rom_a,rom_theta}<={9'd167,9'd349}; +12'd2433:{rom_a,rom_theta}<={9'd167,9'd349}; +12'd2434:{rom_a,rom_theta}<={9'd167,9'd350}; +12'd2435:{rom_a,rom_theta}<={9'd167,9'd350}; +12'd2436:{rom_a,rom_theta}<={9'd167,9'd350}; +12'd2437:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2438:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2439:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2440:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2441:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2442:{rom_a,rom_theta}<={9'd168,9'd350}; +12'd2443:{rom_a,rom_theta}<={9'd168,9'd351}; +12'd2444:{rom_a,rom_theta}<={9'd168,9'd351}; +12'd2445:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2446:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2447:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2448:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2449:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2450:{rom_a,rom_theta}<={9'd169,9'd351}; +12'd2451:{rom_a,rom_theta}<={9'd169,9'd352}; +12'd2452:{rom_a,rom_theta}<={9'd169,9'd352}; +12'd2453:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2454:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2455:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2456:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2457:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2458:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2459:{rom_a,rom_theta}<={9'd170,9'd352}; +12'd2460:{rom_a,rom_theta}<={9'd170,9'd353}; +12'd2461:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2462:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2463:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2464:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2465:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2466:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2467:{rom_a,rom_theta}<={9'd171,9'd353}; +12'd2468:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2469:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2470:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2471:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2472:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2473:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2474:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2475:{rom_a,rom_theta}<={9'd172,9'd354}; +12'd2476:{rom_a,rom_theta}<={9'd173,9'd354}; +12'd2477:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2478:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2479:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2480:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2481:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2482:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2483:{rom_a,rom_theta}<={9'd173,9'd355}; +12'd2484:{rom_a,rom_theta}<={9'd174,9'd355}; +12'd2485:{rom_a,rom_theta}<={9'd174,9'd355}; +12'd2486:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2487:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2488:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2489:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2490:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2491:{rom_a,rom_theta}<={9'd174,9'd356}; +12'd2492:{rom_a,rom_theta}<={9'd175,9'd356}; +12'd2493:{rom_a,rom_theta}<={9'd175,9'd356}; +12'd2494:{rom_a,rom_theta}<={9'd175,9'd357}; +12'd2495:{rom_a,rom_theta}<={9'd175,9'd357}; +12'd2496:{rom_a,rom_theta}<={9'd175,9'd357}; +12'd2497:{rom_a,rom_theta}<={9'd175,9'd357}; +12'd2498:{rom_a,rom_theta}<={9'd175,9'd357}; +12'd2499:{rom_a,rom_theta}<={9'd176,9'd357}; +12'd2500:{rom_a,rom_theta}<={9'd176,9'd357}; +12'd2501:{rom_a,rom_theta}<={9'd176,9'd357}; +12'd2502:{rom_a,rom_theta}<={9'd176,9'd357}; +12'd2503:{rom_a,rom_theta}<={9'd176,9'd358}; +12'd2504:{rom_a,rom_theta}<={9'd176,9'd358}; +12'd2505:{rom_a,rom_theta}<={9'd176,9'd358}; +12'd2506:{rom_a,rom_theta}<={9'd176,9'd358}; +12'd2507:{rom_a,rom_theta}<={9'd177,9'd358}; +12'd2508:{rom_a,rom_theta}<={9'd177,9'd358}; +12'd2509:{rom_a,rom_theta}<={9'd177,9'd358}; +12'd2510:{rom_a,rom_theta}<={9'd177,9'd358}; +12'd2511:{rom_a,rom_theta}<={9'd177,9'd359}; +12'd2512:{rom_a,rom_theta}<={9'd177,9'd359}; +12'd2513:{rom_a,rom_theta}<={9'd177,9'd359}; +12'd2514:{rom_a,rom_theta}<={9'd177,9'd359}; +12'd2515:{rom_a,rom_theta}<={9'd178,9'd359}; +12'd2516:{rom_a,rom_theta}<={9'd178,9'd359}; +12'd2517:{rom_a,rom_theta}<={9'd178,9'd359}; +12'd2518:{rom_a,rom_theta}<={9'd178,9'd359}; +12'd2519:{rom_a,rom_theta}<={9'd178,9'd359}; +12'd2520:{rom_a,rom_theta}<={9'd178,9'd360}; +12'd2521:{rom_a,rom_theta}<={9'd178,9'd360}; +12'd2522:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2523:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2524:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2525:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2526:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2527:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2528:{rom_a,rom_theta}<={9'd179,9'd360}; +12'd2529:{rom_a,rom_theta}<={9'd179,9'd361}; +12'd2530:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2531:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2532:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2533:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2534:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2535:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2536:{rom_a,rom_theta}<={9'd180,9'd361}; +12'd2537:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2538:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2539:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2540:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2541:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2542:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2543:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2544:{rom_a,rom_theta}<={9'd181,9'd362}; +12'd2545:{rom_a,rom_theta}<={9'd182,9'd362}; +12'd2546:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2547:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2548:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2549:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2550:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2551:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2552:{rom_a,rom_theta}<={9'd182,9'd363}; +12'd2553:{rom_a,rom_theta}<={9'd183,9'd363}; +12'd2554:{rom_a,rom_theta}<={9'd183,9'd363}; +12'd2555:{rom_a,rom_theta}<={9'd183,9'd364}; +12'd2556:{rom_a,rom_theta}<={9'd183,9'd364}; +12'd2557:{rom_a,rom_theta}<={9'd183,9'd364}; +12'd2558:{rom_a,rom_theta}<={9'd183,9'd364}; +12'd2559:{rom_a,rom_theta}<={9'd183,9'd364}; +12'd2560:{rom_a,rom_theta}<={9'd184,9'd364}; +12'd2561:{rom_a,rom_theta}<={9'd184,9'd364}; +12'd2562:{rom_a,rom_theta}<={9'd184,9'd364}; +12'd2563:{rom_a,rom_theta}<={9'd184,9'd364}; +12'd2564:{rom_a,rom_theta}<={9'd184,9'd365}; +12'd2565:{rom_a,rom_theta}<={9'd184,9'd365}; +12'd2566:{rom_a,rom_theta}<={9'd184,9'd365}; +12'd2567:{rom_a,rom_theta}<={9'd184,9'd365}; +12'd2568:{rom_a,rom_theta}<={9'd185,9'd365}; +12'd2569:{rom_a,rom_theta}<={9'd185,9'd365}; +12'd2570:{rom_a,rom_theta}<={9'd185,9'd365}; +12'd2571:{rom_a,rom_theta}<={9'd185,9'd365}; +12'd2572:{rom_a,rom_theta}<={9'd185,9'd366}; +12'd2573:{rom_a,rom_theta}<={9'd185,9'd366}; +12'd2574:{rom_a,rom_theta}<={9'd185,9'd366}; +12'd2575:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2576:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2577:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2578:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2579:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2580:{rom_a,rom_theta}<={9'd186,9'd366}; +12'd2581:{rom_a,rom_theta}<={9'd186,9'd367}; +12'd2582:{rom_a,rom_theta}<={9'd186,9'd367}; +12'd2583:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2584:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2585:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2586:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2587:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2588:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2589:{rom_a,rom_theta}<={9'd187,9'd367}; +12'd2590:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2591:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2592:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2593:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2594:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2595:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2596:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2597:{rom_a,rom_theta}<={9'd188,9'd368}; +12'd2598:{rom_a,rom_theta}<={9'd189,9'd368}; +12'd2599:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2600:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2601:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2602:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2603:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2604:{rom_a,rom_theta}<={9'd189,9'd369}; +12'd2605:{rom_a,rom_theta}<={9'd190,9'd369}; +12'd2606:{rom_a,rom_theta}<={9'd190,9'd369}; +12'd2607:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2608:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2609:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2610:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2611:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2612:{rom_a,rom_theta}<={9'd190,9'd370}; +12'd2613:{rom_a,rom_theta}<={9'd191,9'd370}; +12'd2614:{rom_a,rom_theta}<={9'd191,9'd370}; +12'd2615:{rom_a,rom_theta}<={9'd191,9'd370}; +12'd2616:{rom_a,rom_theta}<={9'd191,9'd371}; +12'd2617:{rom_a,rom_theta}<={9'd191,9'd371}; +12'd2618:{rom_a,rom_theta}<={9'd191,9'd371}; +12'd2619:{rom_a,rom_theta}<={9'd191,9'd371}; +12'd2620:{rom_a,rom_theta}<={9'd192,9'd371}; +12'd2621:{rom_a,rom_theta}<={9'd192,9'd371}; +12'd2622:{rom_a,rom_theta}<={9'd192,9'd371}; +12'd2623:{rom_a,rom_theta}<={9'd192,9'd371}; +12'd2624:{rom_a,rom_theta}<={9'd192,9'd371}; +12'd2625:{rom_a,rom_theta}<={9'd192,9'd372}; +12'd2626:{rom_a,rom_theta}<={9'd192,9'd372}; +12'd2627:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2628:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2629:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2630:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2631:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2632:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2633:{rom_a,rom_theta}<={9'd193,9'd372}; +12'd2634:{rom_a,rom_theta}<={9'd193,9'd373}; +12'd2635:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2636:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2637:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2638:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2639:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2640:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2641:{rom_a,rom_theta}<={9'd194,9'd373}; +12'd2642:{rom_a,rom_theta}<={9'd195,9'd373}; +12'd2643:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2644:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2645:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2646:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2647:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2648:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2649:{rom_a,rom_theta}<={9'd195,9'd374}; +12'd2650:{rom_a,rom_theta}<={9'd196,9'd374}; +12'd2651:{rom_a,rom_theta}<={9'd196,9'd374}; +12'd2652:{rom_a,rom_theta}<={9'd196,9'd375}; +12'd2653:{rom_a,rom_theta}<={9'd196,9'd375}; +12'd2654:{rom_a,rom_theta}<={9'd196,9'd375}; +12'd2655:{rom_a,rom_theta}<={9'd196,9'd375}; +12'd2656:{rom_a,rom_theta}<={9'd196,9'd375}; +12'd2657:{rom_a,rom_theta}<={9'd197,9'd375}; +12'd2658:{rom_a,rom_theta}<={9'd197,9'd375}; +12'd2659:{rom_a,rom_theta}<={9'd197,9'd375}; +12'd2660:{rom_a,rom_theta}<={9'd197,9'd375}; +12'd2661:{rom_a,rom_theta}<={9'd197,9'd376}; +12'd2662:{rom_a,rom_theta}<={9'd197,9'd376}; +12'd2663:{rom_a,rom_theta}<={9'd197,9'd376}; +12'd2664:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2665:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2666:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2667:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2668:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2669:{rom_a,rom_theta}<={9'd198,9'd376}; +12'd2670:{rom_a,rom_theta}<={9'd198,9'd377}; +12'd2671:{rom_a,rom_theta}<={9'd198,9'd377}; +12'd2672:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2673:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2674:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2675:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2676:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2677:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2678:{rom_a,rom_theta}<={9'd199,9'd377}; +12'd2679:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2680:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2681:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2682:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2683:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2684:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2685:{rom_a,rom_theta}<={9'd200,9'd378}; +12'd2686:{rom_a,rom_theta}<={9'd201,9'd378}; +12'd2687:{rom_a,rom_theta}<={9'd201,9'd378}; +12'd2688:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2689:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2690:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2691:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2692:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2693:{rom_a,rom_theta}<={9'd201,9'd379}; +12'd2694:{rom_a,rom_theta}<={9'd202,9'd379}; +12'd2695:{rom_a,rom_theta}<={9'd202,9'd379}; +12'd2696:{rom_a,rom_theta}<={9'd202,9'd379}; +12'd2697:{rom_a,rom_theta}<={9'd202,9'd380}; +12'd2698:{rom_a,rom_theta}<={9'd202,9'd380}; +12'd2699:{rom_a,rom_theta}<={9'd202,9'd380}; +12'd2700:{rom_a,rom_theta}<={9'd202,9'd380}; +12'd2701:{rom_a,rom_theta}<={9'd203,9'd380}; +12'd2702:{rom_a,rom_theta}<={9'd203,9'd380}; +12'd2703:{rom_a,rom_theta}<={9'd203,9'd380}; +12'd2704:{rom_a,rom_theta}<={9'd203,9'd380}; +12'd2705:{rom_a,rom_theta}<={9'd203,9'd380}; +12'd2706:{rom_a,rom_theta}<={9'd203,9'd381}; +12'd2707:{rom_a,rom_theta}<={9'd203,9'd381}; +12'd2708:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2709:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2710:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2711:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2712:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2713:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2714:{rom_a,rom_theta}<={9'd204,9'd381}; +12'd2715:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2716:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2717:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2718:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2719:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2720:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2721:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2722:{rom_a,rom_theta}<={9'd205,9'd382}; +12'd2723:{rom_a,rom_theta}<={9'd206,9'd382}; +12'd2724:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2725:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2726:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2727:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2728:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2729:{rom_a,rom_theta}<={9'd206,9'd383}; +12'd2730:{rom_a,rom_theta}<={9'd207,9'd383}; +12'd2731:{rom_a,rom_theta}<={9'd207,9'd383}; +12'd2732:{rom_a,rom_theta}<={9'd207,9'd383}; +12'd2733:{rom_a,rom_theta}<={9'd207,9'd384}; +12'd2734:{rom_a,rom_theta}<={9'd207,9'd384}; +12'd2735:{rom_a,rom_theta}<={9'd207,9'd384}; +12'd2736:{rom_a,rom_theta}<={9'd207,9'd384}; +12'd2737:{rom_a,rom_theta}<={9'd208,9'd384}; +12'd2738:{rom_a,rom_theta}<={9'd208,9'd384}; +12'd2739:{rom_a,rom_theta}<={9'd208,9'd384}; +12'd2740:{rom_a,rom_theta}<={9'd208,9'd384}; +12'd2741:{rom_a,rom_theta}<={9'd208,9'd384}; +12'd2742:{rom_a,rom_theta}<={9'd208,9'd385}; +12'd2743:{rom_a,rom_theta}<={9'd208,9'd385}; +12'd2744:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2745:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2746:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2747:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2748:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2749:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2750:{rom_a,rom_theta}<={9'd209,9'd385}; +12'd2751:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2752:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2753:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2754:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2755:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2756:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2757:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2758:{rom_a,rom_theta}<={9'd210,9'd386}; +12'd2759:{rom_a,rom_theta}<={9'd211,9'd386}; +12'd2760:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2761:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2762:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2763:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2764:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2765:{rom_a,rom_theta}<={9'd211,9'd387}; +12'd2766:{rom_a,rom_theta}<={9'd212,9'd387}; +12'd2767:{rom_a,rom_theta}<={9'd212,9'd387}; +12'd2768:{rom_a,rom_theta}<={9'd212,9'd387}; +12'd2769:{rom_a,rom_theta}<={9'd212,9'd388}; +12'd2770:{rom_a,rom_theta}<={9'd212,9'd388}; +12'd2771:{rom_a,rom_theta}<={9'd212,9'd388}; +12'd2772:{rom_a,rom_theta}<={9'd212,9'd388}; +12'd2773:{rom_a,rom_theta}<={9'd213,9'd388}; +12'd2774:{rom_a,rom_theta}<={9'd213,9'd388}; +12'd2775:{rom_a,rom_theta}<={9'd213,9'd388}; +12'd2776:{rom_a,rom_theta}<={9'd213,9'd388}; +12'd2777:{rom_a,rom_theta}<={9'd213,9'd388}; +12'd2778:{rom_a,rom_theta}<={9'd213,9'd389}; +12'd2779:{rom_a,rom_theta}<={9'd213,9'd389}; +12'd2780:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2781:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2782:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2783:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2784:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2785:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2786:{rom_a,rom_theta}<={9'd214,9'd389}; +12'd2787:{rom_a,rom_theta}<={9'd215,9'd389}; +12'd2788:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2789:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2790:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2791:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2792:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2793:{rom_a,rom_theta}<={9'd215,9'd390}; +12'd2794:{rom_a,rom_theta}<={9'd216,9'd390}; +12'd2795:{rom_a,rom_theta}<={9'd216,9'd390}; +12'd2796:{rom_a,rom_theta}<={9'd216,9'd390}; +12'd2797:{rom_a,rom_theta}<={9'd216,9'd391}; +12'd2798:{rom_a,rom_theta}<={9'd216,9'd391}; +12'd2799:{rom_a,rom_theta}<={9'd216,9'd391}; +12'd2800:{rom_a,rom_theta}<={9'd216,9'd391}; +12'd2801:{rom_a,rom_theta}<={9'd217,9'd391}; +12'd2802:{rom_a,rom_theta}<={9'd217,9'd391}; +12'd2803:{rom_a,rom_theta}<={9'd217,9'd391}; +12'd2804:{rom_a,rom_theta}<={9'd217,9'd391}; +12'd2805:{rom_a,rom_theta}<={9'd217,9'd391}; +12'd2806:{rom_a,rom_theta}<={9'd217,9'd392}; +12'd2807:{rom_a,rom_theta}<={9'd217,9'd392}; +12'd2808:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2809:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2810:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2811:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2812:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2813:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2814:{rom_a,rom_theta}<={9'd218,9'd392}; +12'd2815:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2816:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2817:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2818:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2819:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2820:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2821:{rom_a,rom_theta}<={9'd219,9'd393}; +12'd2822:{rom_a,rom_theta}<={9'd220,9'd393}; +12'd2823:{rom_a,rom_theta}<={9'd220,9'd393}; +12'd2824:{rom_a,rom_theta}<={9'd220,9'd393}; +12'd2825:{rom_a,rom_theta}<={9'd220,9'd394}; +12'd2826:{rom_a,rom_theta}<={9'd220,9'd394}; +12'd2827:{rom_a,rom_theta}<={9'd220,9'd394}; +12'd2828:{rom_a,rom_theta}<={9'd220,9'd394}; +12'd2829:{rom_a,rom_theta}<={9'd220,9'd394}; +12'd2830:{rom_a,rom_theta}<={9'd221,9'd394}; +12'd2831:{rom_a,rom_theta}<={9'd221,9'd394}; +12'd2832:{rom_a,rom_theta}<={9'd221,9'd394}; +12'd2833:{rom_a,rom_theta}<={9'd221,9'd394}; +12'd2834:{rom_a,rom_theta}<={9'd221,9'd395}; +12'd2835:{rom_a,rom_theta}<={9'd221,9'd395}; +12'd2836:{rom_a,rom_theta}<={9'd221,9'd395}; +12'd2837:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2838:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2839:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2840:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2841:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2842:{rom_a,rom_theta}<={9'd222,9'd395}; +12'd2843:{rom_a,rom_theta}<={9'd222,9'd396}; +12'd2844:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2845:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2846:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2847:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2848:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2849:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2850:{rom_a,rom_theta}<={9'd223,9'd396}; +12'd2851:{rom_a,rom_theta}<={9'd224,9'd396}; +12'd2852:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2853:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2854:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2855:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2856:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2857:{rom_a,rom_theta}<={9'd224,9'd397}; +12'd2858:{rom_a,rom_theta}<={9'd225,9'd397}; +12'd2859:{rom_a,rom_theta}<={9'd225,9'd397}; +12'd2860:{rom_a,rom_theta}<={9'd225,9'd397}; +12'd2861:{rom_a,rom_theta}<={9'd225,9'd397}; +12'd2862:{rom_a,rom_theta}<={9'd225,9'd398}; +12'd2863:{rom_a,rom_theta}<={9'd225,9'd398}; +12'd2864:{rom_a,rom_theta}<={9'd225,9'd398}; +12'd2865:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2866:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2867:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2868:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2869:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2870:{rom_a,rom_theta}<={9'd226,9'd398}; +12'd2871:{rom_a,rom_theta}<={9'd226,9'd399}; +12'd2872:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2873:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2874:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2875:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2876:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2877:{rom_a,rom_theta}<={9'd227,9'd399}; +12'd2878:{rom_a,rom_theta}<={9'd228,9'd399}; +12'd2879:{rom_a,rom_theta}<={9'd228,9'd399}; +12'd2880:{rom_a,rom_theta}<={9'd228,9'd399}; +12'd2881:{rom_a,rom_theta}<={9'd228,9'd400}; +12'd2882:{rom_a,rom_theta}<={9'd228,9'd400}; +12'd2883:{rom_a,rom_theta}<={9'd228,9'd400}; +12'd2884:{rom_a,rom_theta}<={9'd228,9'd400}; +12'd2885:{rom_a,rom_theta}<={9'd229,9'd400}; +12'd2886:{rom_a,rom_theta}<={9'd229,9'd400}; +12'd2887:{rom_a,rom_theta}<={9'd229,9'd400}; +12'd2888:{rom_a,rom_theta}<={9'd229,9'd400}; +12'd2889:{rom_a,rom_theta}<={9'd229,9'd400}; +12'd2890:{rom_a,rom_theta}<={9'd229,9'd401}; +12'd2891:{rom_a,rom_theta}<={9'd229,9'd401}; +12'd2892:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2893:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2894:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2895:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2896:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2897:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2898:{rom_a,rom_theta}<={9'd230,9'd401}; +12'd2899:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2900:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2901:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2902:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2903:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2904:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2905:{rom_a,rom_theta}<={9'd231,9'd402}; +12'd2906:{rom_a,rom_theta}<={9'd232,9'd402}; +12'd2907:{rom_a,rom_theta}<={9'd232,9'd402}; +12'd2908:{rom_a,rom_theta}<={9'd232,9'd402}; +12'd2909:{rom_a,rom_theta}<={9'd232,9'd403}; +12'd2910:{rom_a,rom_theta}<={9'd232,9'd403}; +12'd2911:{rom_a,rom_theta}<={9'd232,9'd403}; +12'd2912:{rom_a,rom_theta}<={9'd232,9'd403}; +12'd2913:{rom_a,rom_theta}<={9'd233,9'd403}; +12'd2914:{rom_a,rom_theta}<={9'd233,9'd403}; +12'd2915:{rom_a,rom_theta}<={9'd233,9'd403}; +12'd2916:{rom_a,rom_theta}<={9'd233,9'd403}; +12'd2917:{rom_a,rom_theta}<={9'd233,9'd403}; +12'd2918:{rom_a,rom_theta}<={9'd233,9'd404}; +12'd2919:{rom_a,rom_theta}<={9'd233,9'd404}; +12'd2920:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2921:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2922:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2923:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2924:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2925:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2926:{rom_a,rom_theta}<={9'd234,9'd404}; +12'd2927:{rom_a,rom_theta}<={9'd235,9'd404}; +12'd2928:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2929:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2930:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2931:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2932:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2933:{rom_a,rom_theta}<={9'd235,9'd405}; +12'd2934:{rom_a,rom_theta}<={9'd236,9'd405}; +12'd2935:{rom_a,rom_theta}<={9'd236,9'd405}; +12'd2936:{rom_a,rom_theta}<={9'd236,9'd405}; +12'd2937:{rom_a,rom_theta}<={9'd236,9'd406}; +12'd2938:{rom_a,rom_theta}<={9'd236,9'd406}; +12'd2939:{rom_a,rom_theta}<={9'd236,9'd406}; +12'd2940:{rom_a,rom_theta}<={9'd236,9'd406}; +12'd2941:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2942:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2943:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2944:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2945:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2946:{rom_a,rom_theta}<={9'd237,9'd406}; +12'd2947:{rom_a,rom_theta}<={9'd237,9'd407}; +12'd2948:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2949:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2950:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2951:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2952:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2953:{rom_a,rom_theta}<={9'd238,9'd407}; +12'd2954:{rom_a,rom_theta}<={9'd239,9'd407}; +12'd2955:{rom_a,rom_theta}<={9'd239,9'd407}; +12'd2956:{rom_a,rom_theta}<={9'd239,9'd408}; +12'd2957:{rom_a,rom_theta}<={9'd239,9'd408}; +12'd2958:{rom_a,rom_theta}<={9'd239,9'd408}; +12'd2959:{rom_a,rom_theta}<={9'd239,9'd408}; +12'd2960:{rom_a,rom_theta}<={9'd239,9'd408}; +12'd2961:{rom_a,rom_theta}<={9'd240,9'd408}; +12'd2962:{rom_a,rom_theta}<={9'd240,9'd408}; +12'd2963:{rom_a,rom_theta}<={9'd240,9'd408}; +12'd2964:{rom_a,rom_theta}<={9'd240,9'd408}; +12'd2965:{rom_a,rom_theta}<={9'd240,9'd408}; +12'd2966:{rom_a,rom_theta}<={9'd240,9'd409}; +12'd2967:{rom_a,rom_theta}<={9'd240,9'd409}; +12'd2968:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2969:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2970:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2971:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2972:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2973:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2974:{rom_a,rom_theta}<={9'd241,9'd409}; +12'd2975:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2976:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2977:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2978:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2979:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2980:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2981:{rom_a,rom_theta}<={9'd242,9'd410}; +12'd2982:{rom_a,rom_theta}<={9'd243,9'd410}; +12'd2983:{rom_a,rom_theta}<={9'd243,9'd410}; +12'd2984:{rom_a,rom_theta}<={9'd243,9'd410}; +12'd2985:{rom_a,rom_theta}<={9'd243,9'd411}; +12'd2986:{rom_a,rom_theta}<={9'd243,9'd411}; +12'd2987:{rom_a,rom_theta}<={9'd243,9'd411}; +12'd2988:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2989:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2990:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2991:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2992:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2993:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2994:{rom_a,rom_theta}<={9'd244,9'd411}; +12'd2995:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd2996:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd2997:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd2998:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd2999:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd3000:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd3001:{rom_a,rom_theta}<={9'd245,9'd412}; +12'd3002:{rom_a,rom_theta}<={9'd246,9'd412}; +12'd3003:{rom_a,rom_theta}<={9'd246,9'd412}; +12'd3004:{rom_a,rom_theta}<={9'd246,9'd413}; +12'd3005:{rom_a,rom_theta}<={9'd246,9'd413}; +12'd3006:{rom_a,rom_theta}<={9'd246,9'd413}; +12'd3007:{rom_a,rom_theta}<={9'd246,9'd413}; +12'd3008:{rom_a,rom_theta}<={9'd246,9'd413}; +12'd3009:{rom_a,rom_theta}<={9'd247,9'd413}; +12'd3010:{rom_a,rom_theta}<={9'd247,9'd413}; +12'd3011:{rom_a,rom_theta}<={9'd247,9'd413}; +12'd3012:{rom_a,rom_theta}<={9'd247,9'd413}; +12'd3013:{rom_a,rom_theta}<={9'd247,9'd413}; +12'd3014:{rom_a,rom_theta}<={9'd247,9'd414}; +12'd3015:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3016:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3017:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3018:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3019:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3020:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3021:{rom_a,rom_theta}<={9'd248,9'd414}; +12'd3022:{rom_a,rom_theta}<={9'd249,9'd414}; +12'd3023:{rom_a,rom_theta}<={9'd249,9'd414}; +12'd3024:{rom_a,rom_theta}<={9'd249,9'd415}; +12'd3025:{rom_a,rom_theta}<={9'd249,9'd415}; +12'd3026:{rom_a,rom_theta}<={9'd249,9'd415}; +12'd3027:{rom_a,rom_theta}<={9'd249,9'd415}; +12'd3028:{rom_a,rom_theta}<={9'd249,9'd415}; +12'd3029:{rom_a,rom_theta}<={9'd250,9'd415}; +12'd3030:{rom_a,rom_theta}<={9'd250,9'd415}; +12'd3031:{rom_a,rom_theta}<={9'd250,9'd415}; +12'd3032:{rom_a,rom_theta}<={9'd250,9'd415}; +12'd3033:{rom_a,rom_theta}<={9'd250,9'd416}; +12'd3034:{rom_a,rom_theta}<={9'd250,9'd416}; +12'd3035:{rom_a,rom_theta}<={9'd250,9'd416}; +12'd3036:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3037:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3038:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3039:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3040:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3041:{rom_a,rom_theta}<={9'd251,9'd416}; +12'd3042:{rom_a,rom_theta}<={9'd252,9'd416}; +12'd3043:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3044:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3045:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3046:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3047:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3048:{rom_a,rom_theta}<={9'd252,9'd417}; +12'd3049:{rom_a,rom_theta}<={9'd253,9'd417}; +12'd3050:{rom_a,rom_theta}<={9'd253,9'd417}; +12'd3051:{rom_a,rom_theta}<={9'd253,9'd417}; +12'd3052:{rom_a,rom_theta}<={9'd253,9'd417}; +12'd3053:{rom_a,rom_theta}<={9'd253,9'd418}; +12'd3054:{rom_a,rom_theta}<={9'd253,9'd418}; +12'd3055:{rom_a,rom_theta}<={9'd253,9'd418}; +12'd3056:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3057:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3058:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3059:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3060:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3061:{rom_a,rom_theta}<={9'd254,9'd418}; +12'd3062:{rom_a,rom_theta}<={9'd255,9'd418}; +12'd3063:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3064:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3065:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3066:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3067:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3068:{rom_a,rom_theta}<={9'd255,9'd419}; +12'd3069:{rom_a,rom_theta}<={9'd256,9'd419}; +12'd3070:{rom_a,rom_theta}<={9'd256,9'd419}; +12'd3071:{rom_a,rom_theta}<={9'd256,9'd419}; +12'd3072:{rom_a,rom_theta}<={9'd256,9'd419}; +12'd3073:{rom_a,rom_theta}<={9'd256,9'd420}; +12'd3074:{rom_a,rom_theta}<={9'd256,9'd420}; +12'd3075:{rom_a,rom_theta}<={9'd256,9'd420}; +12'd3076:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3077:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3078:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3079:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3080:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3081:{rom_a,rom_theta}<={9'd257,9'd420}; +12'd3082:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3083:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3084:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3085:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3086:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3087:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3088:{rom_a,rom_theta}<={9'd258,9'd421}; +12'd3089:{rom_a,rom_theta}<={9'd259,9'd421}; +12'd3090:{rom_a,rom_theta}<={9'd259,9'd421}; +12'd3091:{rom_a,rom_theta}<={9'd259,9'd421}; +12'd3092:{rom_a,rom_theta}<={9'd259,9'd422}; +12'd3093:{rom_a,rom_theta}<={9'd259,9'd422}; +12'd3094:{rom_a,rom_theta}<={9'd259,9'd422}; +12'd3095:{rom_a,rom_theta}<={9'd259,9'd422}; +12'd3096:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3097:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3098:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3099:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3100:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3101:{rom_a,rom_theta}<={9'd260,9'd422}; +12'd3102:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3103:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3104:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3105:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3106:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3107:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3108:{rom_a,rom_theta}<={9'd261,9'd423}; +12'd3109:{rom_a,rom_theta}<={9'd262,9'd423}; +12'd3110:{rom_a,rom_theta}<={9'd262,9'd423}; +12'd3111:{rom_a,rom_theta}<={9'd262,9'd423}; +12'd3112:{rom_a,rom_theta}<={9'd262,9'd424}; +12'd3113:{rom_a,rom_theta}<={9'd262,9'd424}; +12'd3114:{rom_a,rom_theta}<={9'd262,9'd424}; +12'd3115:{rom_a,rom_theta}<={9'd262,9'd424}; +12'd3116:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3117:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3118:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3119:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3120:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3121:{rom_a,rom_theta}<={9'd263,9'd424}; +12'd3122:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3123:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3124:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3125:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3126:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3127:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3128:{rom_a,rom_theta}<={9'd264,9'd425}; +12'd3129:{rom_a,rom_theta}<={9'd265,9'd425}; +12'd3130:{rom_a,rom_theta}<={9'd265,9'd425}; +12'd3131:{rom_a,rom_theta}<={9'd265,9'd425}; +12'd3132:{rom_a,rom_theta}<={9'd265,9'd426}; +12'd3133:{rom_a,rom_theta}<={9'd265,9'd426}; +12'd3134:{rom_a,rom_theta}<={9'd265,9'd426}; +12'd3135:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3136:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3137:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3138:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3139:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3140:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3141:{rom_a,rom_theta}<={9'd266,9'd426}; +12'd3142:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3143:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3144:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3145:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3146:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3147:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3148:{rom_a,rom_theta}<={9'd267,9'd427}; +12'd3149:{rom_a,rom_theta}<={9'd268,9'd427}; +12'd3150:{rom_a,rom_theta}<={9'd268,9'd427}; +12'd3151:{rom_a,rom_theta}<={9'd268,9'd427}; +12'd3152:{rom_a,rom_theta}<={9'd268,9'd428}; +12'd3153:{rom_a,rom_theta}<={9'd268,9'd428}; +12'd3154:{rom_a,rom_theta}<={9'd268,9'd428}; +12'd3155:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3156:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3157:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3158:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3159:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3160:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3161:{rom_a,rom_theta}<={9'd269,9'd428}; +12'd3162:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3163:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3164:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3165:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3166:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3167:{rom_a,rom_theta}<={9'd270,9'd429}; +12'd3168:{rom_a,rom_theta}<={9'd271,9'd429}; +12'd3169:{rom_a,rom_theta}<={9'd271,9'd429}; +12'd3170:{rom_a,rom_theta}<={9'd271,9'd429}; +12'd3171:{rom_a,rom_theta}<={9'd271,9'd429}; +12'd3172:{rom_a,rom_theta}<={9'd271,9'd430}; +12'd3173:{rom_a,rom_theta}<={9'd271,9'd430}; +12'd3174:{rom_a,rom_theta}<={9'd271,9'd430}; +12'd3175:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3176:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3177:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3178:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3179:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3180:{rom_a,rom_theta}<={9'd272,9'd430}; +12'd3181:{rom_a,rom_theta}<={9'd273,9'd430}; +12'd3182:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3183:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3184:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3185:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3186:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3187:{rom_a,rom_theta}<={9'd273,9'd431}; +12'd3188:{rom_a,rom_theta}<={9'd274,9'd431}; +12'd3189:{rom_a,rom_theta}<={9'd274,9'd431}; +12'd3190:{rom_a,rom_theta}<={9'd274,9'd431}; +12'd3191:{rom_a,rom_theta}<={9'd274,9'd431}; +12'd3192:{rom_a,rom_theta}<={9'd274,9'd432}; +12'd3193:{rom_a,rom_theta}<={9'd274,9'd432}; +12'd3194:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3195:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3196:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3197:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3198:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3199:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3200:{rom_a,rom_theta}<={9'd275,9'd432}; +12'd3201:{rom_a,rom_theta}<={9'd276,9'd432}; +12'd3202:{rom_a,rom_theta}<={9'd276,9'd433}; +12'd3203:{rom_a,rom_theta}<={9'd276,9'd433}; +12'd3204:{rom_a,rom_theta}<={9'd276,9'd433}; +12'd3205:{rom_a,rom_theta}<={9'd276,9'd433}; +12'd3206:{rom_a,rom_theta}<={9'd276,9'd433}; +12'd3207:{rom_a,rom_theta}<={9'd277,9'd433}; +12'd3208:{rom_a,rom_theta}<={9'd277,9'd433}; +12'd3209:{rom_a,rom_theta}<={9'd277,9'd433}; +12'd3210:{rom_a,rom_theta}<={9'd277,9'd433}; +12'd3211:{rom_a,rom_theta}<={9'd277,9'd433}; +12'd3212:{rom_a,rom_theta}<={9'd277,9'd434}; +12'd3213:{rom_a,rom_theta}<={9'd277,9'd434}; +12'd3214:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3215:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3216:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3217:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3218:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3219:{rom_a,rom_theta}<={9'd278,9'd434}; +12'd3220:{rom_a,rom_theta}<={9'd279,9'd434}; +12'd3221:{rom_a,rom_theta}<={9'd279,9'd434}; +12'd3222:{rom_a,rom_theta}<={9'd279,9'd435}; +12'd3223:{rom_a,rom_theta}<={9'd279,9'd435}; +12'd3224:{rom_a,rom_theta}<={9'd279,9'd435}; +12'd3225:{rom_a,rom_theta}<={9'd279,9'd435}; +12'd3226:{rom_a,rom_theta}<={9'd279,9'd435}; +12'd3227:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3228:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3229:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3230:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3231:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3232:{rom_a,rom_theta}<={9'd280,9'd435}; +12'd3233:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3234:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3235:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3236:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3237:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3238:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3239:{rom_a,rom_theta}<={9'd281,9'd436}; +12'd3240:{rom_a,rom_theta}<={9'd282,9'd436}; +12'd3241:{rom_a,rom_theta}<={9'd282,9'd436}; +12'd3242:{rom_a,rom_theta}<={9'd282,9'd436}; +12'd3243:{rom_a,rom_theta}<={9'd282,9'd437}; +12'd3244:{rom_a,rom_theta}<={9'd282,9'd437}; +12'd3245:{rom_a,rom_theta}<={9'd282,9'd437}; +12'd3246:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3247:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3248:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3249:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3250:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3251:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3252:{rom_a,rom_theta}<={9'd283,9'd437}; +12'd3253:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3254:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3255:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3256:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3257:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3258:{rom_a,rom_theta}<={9'd284,9'd438}; +12'd3259:{rom_a,rom_theta}<={9'd285,9'd438}; +12'd3260:{rom_a,rom_theta}<={9'd285,9'd438}; +12'd3261:{rom_a,rom_theta}<={9'd285,9'd438}; +12'd3262:{rom_a,rom_theta}<={9'd285,9'd438}; +12'd3263:{rom_a,rom_theta}<={9'd285,9'd439}; +12'd3264:{rom_a,rom_theta}<={9'd285,9'd439}; +12'd3265:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3266:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3267:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3268:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3269:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3270:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3271:{rom_a,rom_theta}<={9'd286,9'd439}; +12'd3272:{rom_a,rom_theta}<={9'd287,9'd439}; +12'd3273:{rom_a,rom_theta}<={9'd287,9'd439}; +12'd3274:{rom_a,rom_theta}<={9'd287,9'd440}; +12'd3275:{rom_a,rom_theta}<={9'd287,9'd440}; +12'd3276:{rom_a,rom_theta}<={9'd287,9'd440}; +12'd3277:{rom_a,rom_theta}<={9'd287,9'd440}; +12'd3278:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3279:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3280:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3281:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3282:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3283:{rom_a,rom_theta}<={9'd288,9'd440}; +12'd3284:{rom_a,rom_theta}<={9'd288,9'd441}; +12'd3285:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3286:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3287:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3288:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3289:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3290:{rom_a,rom_theta}<={9'd289,9'd441}; +12'd3291:{rom_a,rom_theta}<={9'd290,9'd441}; +12'd3292:{rom_a,rom_theta}<={9'd290,9'd441}; +12'd3293:{rom_a,rom_theta}<={9'd290,9'd441}; +12'd3294:{rom_a,rom_theta}<={9'd290,9'd442}; +12'd3295:{rom_a,rom_theta}<={9'd290,9'd442}; +12'd3296:{rom_a,rom_theta}<={9'd290,9'd442}; +12'd3297:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3298:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3299:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3300:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3301:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3302:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3303:{rom_a,rom_theta}<={9'd291,9'd442}; +12'd3304:{rom_a,rom_theta}<={9'd292,9'd442}; +12'd3305:{rom_a,rom_theta}<={9'd292,9'd443}; +12'd3306:{rom_a,rom_theta}<={9'd292,9'd443}; +12'd3307:{rom_a,rom_theta}<={9'd292,9'd443}; +12'd3308:{rom_a,rom_theta}<={9'd292,9'd443}; +12'd3309:{rom_a,rom_theta}<={9'd292,9'd443}; +12'd3310:{rom_a,rom_theta}<={9'd293,9'd443}; +12'd3311:{rom_a,rom_theta}<={9'd293,9'd443}; +12'd3312:{rom_a,rom_theta}<={9'd293,9'd443}; +12'd3313:{rom_a,rom_theta}<={9'd293,9'd443}; +12'd3314:{rom_a,rom_theta}<={9'd293,9'd443}; +12'd3315:{rom_a,rom_theta}<={9'd293,9'd444}; +12'd3316:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3317:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3318:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3319:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3320:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3321:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3322:{rom_a,rom_theta}<={9'd294,9'd444}; +12'd3323:{rom_a,rom_theta}<={9'd295,9'd444}; +12'd3324:{rom_a,rom_theta}<={9'd295,9'd444}; +12'd3325:{rom_a,rom_theta}<={9'd295,9'd445}; +12'd3326:{rom_a,rom_theta}<={9'd295,9'd445}; +12'd3327:{rom_a,rom_theta}<={9'd295,9'd445}; +12'd3328:{rom_a,rom_theta}<={9'd295,9'd445}; +12'd3329:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3330:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3331:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3332:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3333:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3334:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3335:{rom_a,rom_theta}<={9'd296,9'd445}; +12'd3336:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3337:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3338:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3339:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3340:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3341:{rom_a,rom_theta}<={9'd297,9'd446}; +12'd3342:{rom_a,rom_theta}<={9'd298,9'd446}; +12'd3343:{rom_a,rom_theta}<={9'd298,9'd446}; +12'd3344:{rom_a,rom_theta}<={9'd298,9'd446}; +12'd3345:{rom_a,rom_theta}<={9'd298,9'd446}; +12'd3346:{rom_a,rom_theta}<={9'd298,9'd447}; +12'd3347:{rom_a,rom_theta}<={9'd298,9'd447}; +12'd3348:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3349:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3350:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3351:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3352:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3353:{rom_a,rom_theta}<={9'd299,9'd447}; +12'd3354:{rom_a,rom_theta}<={9'd300,9'd447}; +12'd3355:{rom_a,rom_theta}<={9'd300,9'd447}; +12'd3356:{rom_a,rom_theta}<={9'd300,9'd447}; +12'd3357:{rom_a,rom_theta}<={9'd300,9'd448}; +12'd3358:{rom_a,rom_theta}<={9'd300,9'd448}; +12'd3359:{rom_a,rom_theta}<={9'd300,9'd448}; +12'd3360:{rom_a,rom_theta}<={9'd300,9'd448}; +12'd3361:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3362:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3363:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3364:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3365:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3366:{rom_a,rom_theta}<={9'd301,9'd448}; +12'd3367:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3368:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3369:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3370:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3371:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3372:{rom_a,rom_theta}<={9'd302,9'd449}; +12'd3373:{rom_a,rom_theta}<={9'd303,9'd449}; +12'd3374:{rom_a,rom_theta}<={9'd303,9'd449}; +12'd3375:{rom_a,rom_theta}<={9'd303,9'd449}; +12'd3376:{rom_a,rom_theta}<={9'd303,9'd449}; +12'd3377:{rom_a,rom_theta}<={9'd303,9'd449}; +12'd3378:{rom_a,rom_theta}<={9'd303,9'd450}; +12'd3379:{rom_a,rom_theta}<={9'd303,9'd450}; +12'd3380:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3381:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3382:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3383:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3384:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3385:{rom_a,rom_theta}<={9'd304,9'd450}; +12'd3386:{rom_a,rom_theta}<={9'd305,9'd450}; +12'd3387:{rom_a,rom_theta}<={9'd305,9'd450}; +12'd3388:{rom_a,rom_theta}<={9'd305,9'd451}; +12'd3389:{rom_a,rom_theta}<={9'd305,9'd451}; +12'd3390:{rom_a,rom_theta}<={9'd305,9'd451}; +12'd3391:{rom_a,rom_theta}<={9'd305,9'd451}; +12'd3392:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3393:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3394:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3395:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3396:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3397:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3398:{rom_a,rom_theta}<={9'd306,9'd451}; +12'd3399:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3400:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3401:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3402:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3403:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3404:{rom_a,rom_theta}<={9'd307,9'd452}; +12'd3405:{rom_a,rom_theta}<={9'd308,9'd452}; +12'd3406:{rom_a,rom_theta}<={9'd308,9'd452}; +12'd3407:{rom_a,rom_theta}<={9'd308,9'd452}; +12'd3408:{rom_a,rom_theta}<={9'd308,9'd452}; +12'd3409:{rom_a,rom_theta}<={9'd308,9'd452}; +12'd3410:{rom_a,rom_theta}<={9'd308,9'd453}; +12'd3411:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3412:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3413:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3414:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3415:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3416:{rom_a,rom_theta}<={9'd309,9'd453}; +12'd3417:{rom_a,rom_theta}<={9'd310,9'd453}; +12'd3418:{rom_a,rom_theta}<={9'd310,9'd453}; +12'd3419:{rom_a,rom_theta}<={9'd310,9'd453}; +12'd3420:{rom_a,rom_theta}<={9'd310,9'd454}; +12'd3421:{rom_a,rom_theta}<={9'd310,9'd454}; +12'd3422:{rom_a,rom_theta}<={9'd310,9'd454}; +12'd3423:{rom_a,rom_theta}<={9'd310,9'd454}; +12'd3424:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3425:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3426:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3427:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3428:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3429:{rom_a,rom_theta}<={9'd311,9'd454}; +12'd3430:{rom_a,rom_theta}<={9'd312,9'd454}; +12'd3431:{rom_a,rom_theta}<={9'd312,9'd455}; +12'd3432:{rom_a,rom_theta}<={9'd312,9'd455}; +12'd3433:{rom_a,rom_theta}<={9'd312,9'd455}; +12'd3434:{rom_a,rom_theta}<={9'd312,9'd455}; +12'd3435:{rom_a,rom_theta}<={9'd312,9'd455}; +12'd3436:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3437:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3438:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3439:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3440:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3441:{rom_a,rom_theta}<={9'd313,9'd455}; +12'd3442:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3443:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3444:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3445:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3446:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3447:{rom_a,rom_theta}<={9'd314,9'd456}; +12'd3448:{rom_a,rom_theta}<={9'd315,9'd456}; +12'd3449:{rom_a,rom_theta}<={9'd315,9'd456}; +12'd3450:{rom_a,rom_theta}<={9'd315,9'd456}; +12'd3451:{rom_a,rom_theta}<={9'd315,9'd456}; +12'd3452:{rom_a,rom_theta}<={9'd315,9'd457}; +12'd3453:{rom_a,rom_theta}<={9'd315,9'd457}; +12'd3454:{rom_a,rom_theta}<={9'd315,9'd457}; +12'd3455:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3456:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3457:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3458:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3459:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3460:{rom_a,rom_theta}<={9'd316,9'd457}; +12'd3461:{rom_a,rom_theta}<={9'd317,9'd457}; +12'd3462:{rom_a,rom_theta}<={9'd317,9'd457}; +12'd3463:{rom_a,rom_theta}<={9'd317,9'd458}; +12'd3464:{rom_a,rom_theta}<={9'd317,9'd458}; +12'd3465:{rom_a,rom_theta}<={9'd317,9'd458}; +12'd3466:{rom_a,rom_theta}<={9'd317,9'd458}; +12'd3467:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3468:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3469:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3470:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3471:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3472:{rom_a,rom_theta}<={9'd318,9'd458}; +12'd3473:{rom_a,rom_theta}<={9'd319,9'd458}; +12'd3474:{rom_a,rom_theta}<={9'd319,9'd459}; +12'd3475:{rom_a,rom_theta}<={9'd319,9'd459}; +12'd3476:{rom_a,rom_theta}<={9'd319,9'd459}; +12'd3477:{rom_a,rom_theta}<={9'd319,9'd459}; +12'd3478:{rom_a,rom_theta}<={9'd319,9'd459}; +12'd3479:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3480:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3481:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3482:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3483:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3484:{rom_a,rom_theta}<={9'd320,9'd459}; +12'd3485:{rom_a,rom_theta}<={9'd320,9'd460}; +12'd3486:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3487:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3488:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3489:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3490:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3491:{rom_a,rom_theta}<={9'd321,9'd460}; +12'd3492:{rom_a,rom_theta}<={9'd322,9'd460}; +12'd3493:{rom_a,rom_theta}<={9'd322,9'd460}; +12'd3494:{rom_a,rom_theta}<={9'd322,9'd460}; +12'd3495:{rom_a,rom_theta}<={9'd322,9'd460}; +12'd3496:{rom_a,rom_theta}<={9'd322,9'd461}; +12'd3497:{rom_a,rom_theta}<={9'd322,9'd461}; +12'd3498:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3499:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3500:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3501:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3502:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3503:{rom_a,rom_theta}<={9'd323,9'd461}; +12'd3504:{rom_a,rom_theta}<={9'd324,9'd461}; +12'd3505:{rom_a,rom_theta}<={9'd324,9'd461}; +12'd3506:{rom_a,rom_theta}<={9'd324,9'd462}; +12'd3507:{rom_a,rom_theta}<={9'd324,9'd462}; +12'd3508:{rom_a,rom_theta}<={9'd324,9'd462}; +12'd3509:{rom_a,rom_theta}<={9'd324,9'd462}; +12'd3510:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3511:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3512:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3513:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3514:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3515:{rom_a,rom_theta}<={9'd325,9'd462}; +12'd3516:{rom_a,rom_theta}<={9'd326,9'd462}; +12'd3517:{rom_a,rom_theta}<={9'd326,9'd463}; +12'd3518:{rom_a,rom_theta}<={9'd326,9'd463}; +12'd3519:{rom_a,rom_theta}<={9'd326,9'd463}; +12'd3520:{rom_a,rom_theta}<={9'd326,9'd463}; +12'd3521:{rom_a,rom_theta}<={9'd326,9'd463}; +12'd3522:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3523:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3524:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3525:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3526:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3527:{rom_a,rom_theta}<={9'd327,9'd463}; +12'd3528:{rom_a,rom_theta}<={9'd327,9'd464}; +12'd3529:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3530:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3531:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3532:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3533:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3534:{rom_a,rom_theta}<={9'd328,9'd464}; +12'd3535:{rom_a,rom_theta}<={9'd329,9'd464}; +12'd3536:{rom_a,rom_theta}<={9'd329,9'd464}; +12'd3537:{rom_a,rom_theta}<={9'd329,9'd464}; +12'd3538:{rom_a,rom_theta}<={9'd329,9'd464}; +12'd3539:{rom_a,rom_theta}<={9'd329,9'd465}; +12'd3540:{rom_a,rom_theta}<={9'd329,9'd465}; +12'd3541:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3542:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3543:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3544:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3545:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3546:{rom_a,rom_theta}<={9'd330,9'd465}; +12'd3547:{rom_a,rom_theta}<={9'd331,9'd465}; +12'd3548:{rom_a,rom_theta}<={9'd331,9'd465}; +12'd3549:{rom_a,rom_theta}<={9'd331,9'd465}; +12'd3550:{rom_a,rom_theta}<={9'd331,9'd466}; +12'd3551:{rom_a,rom_theta}<={9'd331,9'd466}; +12'd3552:{rom_a,rom_theta}<={9'd331,9'd466}; +12'd3553:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3554:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3555:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3556:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3557:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3558:{rom_a,rom_theta}<={9'd332,9'd466}; +12'd3559:{rom_a,rom_theta}<={9'd333,9'd466}; +12'd3560:{rom_a,rom_theta}<={9'd333,9'd466}; +12'd3561:{rom_a,rom_theta}<={9'd333,9'd467}; +12'd3562:{rom_a,rom_theta}<={9'd333,9'd467}; +12'd3563:{rom_a,rom_theta}<={9'd333,9'd467}; +12'd3564:{rom_a,rom_theta}<={9'd333,9'd467}; +12'd3565:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3566:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3567:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3568:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3569:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3570:{rom_a,rom_theta}<={9'd334,9'd467}; +12'd3571:{rom_a,rom_theta}<={9'd335,9'd467}; +12'd3572:{rom_a,rom_theta}<={9'd335,9'd468}; +12'd3573:{rom_a,rom_theta}<={9'd335,9'd468}; +12'd3574:{rom_a,rom_theta}<={9'd335,9'd468}; +12'd3575:{rom_a,rom_theta}<={9'd335,9'd468}; +12'd3576:{rom_a,rom_theta}<={9'd335,9'd468}; +12'd3577:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3578:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3579:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3580:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3581:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3582:{rom_a,rom_theta}<={9'd336,9'd468}; +12'd3583:{rom_a,rom_theta}<={9'd336,9'd469}; +12'd3584:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3585:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3586:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3587:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3588:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3589:{rom_a,rom_theta}<={9'd337,9'd469}; +12'd3590:{rom_a,rom_theta}<={9'd338,9'd469}; +12'd3591:{rom_a,rom_theta}<={9'd338,9'd469}; +12'd3592:{rom_a,rom_theta}<={9'd338,9'd469}; +12'd3593:{rom_a,rom_theta}<={9'd338,9'd469}; +12'd3594:{rom_a,rom_theta}<={9'd338,9'd470}; +12'd3595:{rom_a,rom_theta}<={9'd338,9'd470}; +12'd3596:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3597:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3598:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3599:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3600:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3601:{rom_a,rom_theta}<={9'd339,9'd470}; +12'd3602:{rom_a,rom_theta}<={9'd340,9'd470}; +12'd3603:{rom_a,rom_theta}<={9'd340,9'd470}; +12'd3604:{rom_a,rom_theta}<={9'd340,9'd470}; +12'd3605:{rom_a,rom_theta}<={9'd340,9'd470}; +12'd3606:{rom_a,rom_theta}<={9'd340,9'd471}; +12'd3607:{rom_a,rom_theta}<={9'd340,9'd471}; +12'd3608:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3609:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3610:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3611:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3612:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3613:{rom_a,rom_theta}<={9'd341,9'd471}; +12'd3614:{rom_a,rom_theta}<={9'd342,9'd471}; +12'd3615:{rom_a,rom_theta}<={9'd342,9'd471}; +12'd3616:{rom_a,rom_theta}<={9'd342,9'd471}; +12'd3617:{rom_a,rom_theta}<={9'd342,9'd472}; +12'd3618:{rom_a,rom_theta}<={9'd342,9'd472}; +12'd3619:{rom_a,rom_theta}<={9'd342,9'd472}; +12'd3620:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3621:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3622:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3623:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3624:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3625:{rom_a,rom_theta}<={9'd343,9'd472}; +12'd3626:{rom_a,rom_theta}<={9'd344,9'd472}; +12'd3627:{rom_a,rom_theta}<={9'd344,9'd472}; +12'd3628:{rom_a,rom_theta}<={9'd344,9'd473}; +12'd3629:{rom_a,rom_theta}<={9'd344,9'd473}; +12'd3630:{rom_a,rom_theta}<={9'd344,9'd473}; +12'd3631:{rom_a,rom_theta}<={9'd344,9'd473}; +12'd3632:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3633:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3634:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3635:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3636:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3637:{rom_a,rom_theta}<={9'd345,9'd473}; +12'd3638:{rom_a,rom_theta}<={9'd346,9'd473}; +12'd3639:{rom_a,rom_theta}<={9'd346,9'd474}; +12'd3640:{rom_a,rom_theta}<={9'd346,9'd474}; +12'd3641:{rom_a,rom_theta}<={9'd346,9'd474}; +12'd3642:{rom_a,rom_theta}<={9'd346,9'd474}; +12'd3643:{rom_a,rom_theta}<={9'd346,9'd474}; +12'd3644:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3645:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3646:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3647:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3648:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3649:{rom_a,rom_theta}<={9'd347,9'd474}; +12'd3650:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3651:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3652:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3653:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3654:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3655:{rom_a,rom_theta}<={9'd348,9'd475}; +12'd3656:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3657:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3658:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3659:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3660:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3661:{rom_a,rom_theta}<={9'd349,9'd475}; +12'd3662:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3663:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3664:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3665:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3666:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3667:{rom_a,rom_theta}<={9'd350,9'd476}; +12'd3668:{rom_a,rom_theta}<={9'd351,9'd476}; +12'd3669:{rom_a,rom_theta}<={9'd351,9'd476}; +12'd3670:{rom_a,rom_theta}<={9'd351,9'd476}; +12'd3671:{rom_a,rom_theta}<={9'd351,9'd476}; +12'd3672:{rom_a,rom_theta}<={9'd351,9'd476}; +12'd3673:{rom_a,rom_theta}<={9'd351,9'd477}; +12'd3674:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3675:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3676:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3677:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3678:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3679:{rom_a,rom_theta}<={9'd352,9'd477}; +12'd3680:{rom_a,rom_theta}<={9'd353,9'd477}; +12'd3681:{rom_a,rom_theta}<={9'd353,9'd477}; +12'd3682:{rom_a,rom_theta}<={9'd353,9'd477}; +12'd3683:{rom_a,rom_theta}<={9'd353,9'd477}; +12'd3684:{rom_a,rom_theta}<={9'd353,9'd478}; +12'd3685:{rom_a,rom_theta}<={9'd353,9'd478}; +12'd3686:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3687:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3688:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3689:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3690:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3691:{rom_a,rom_theta}<={9'd354,9'd478}; +12'd3692:{rom_a,rom_theta}<={9'd355,9'd478}; +12'd3693:{rom_a,rom_theta}<={9'd355,9'd478}; +12'd3694:{rom_a,rom_theta}<={9'd355,9'd478}; +12'd3695:{rom_a,rom_theta}<={9'd355,9'd478}; +12'd3696:{rom_a,rom_theta}<={9'd355,9'd479}; +12'd3697:{rom_a,rom_theta}<={9'd355,9'd479}; +12'd3698:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3699:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3700:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3701:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3702:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3703:{rom_a,rom_theta}<={9'd356,9'd479}; +12'd3704:{rom_a,rom_theta}<={9'd357,9'd479}; +12'd3705:{rom_a,rom_theta}<={9'd357,9'd479}; +12'd3706:{rom_a,rom_theta}<={9'd357,9'd479}; +12'd3707:{rom_a,rom_theta}<={9'd357,9'd480}; +12'd3708:{rom_a,rom_theta}<={9'd357,9'd480}; +12'd3709:{rom_a,rom_theta}<={9'd357,9'd480}; +12'd3710:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3711:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3712:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3713:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3714:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3715:{rom_a,rom_theta}<={9'd358,9'd480}; +12'd3716:{rom_a,rom_theta}<={9'd359,9'd480}; +12'd3717:{rom_a,rom_theta}<={9'd359,9'd480}; +12'd3718:{rom_a,rom_theta}<={9'd359,9'd480}; +12'd3719:{rom_a,rom_theta}<={9'd359,9'd481}; +12'd3720:{rom_a,rom_theta}<={9'd359,9'd481}; +12'd3721:{rom_a,rom_theta}<={9'd359,9'd481}; +12'd3722:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3723:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3724:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3725:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3726:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3727:{rom_a,rom_theta}<={9'd360,9'd481}; +12'd3728:{rom_a,rom_theta}<={9'd361,9'd481}; +12'd3729:{rom_a,rom_theta}<={9'd361,9'd481}; +12'd3730:{rom_a,rom_theta}<={9'd361,9'd482}; +12'd3731:{rom_a,rom_theta}<={9'd361,9'd482}; +12'd3732:{rom_a,rom_theta}<={9'd361,9'd482}; +12'd3733:{rom_a,rom_theta}<={9'd361,9'd482}; +12'd3734:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3735:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3736:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3737:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3738:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3739:{rom_a,rom_theta}<={9'd362,9'd482}; +12'd3740:{rom_a,rom_theta}<={9'd363,9'd482}; +12'd3741:{rom_a,rom_theta}<={9'd363,9'd482}; +12'd3742:{rom_a,rom_theta}<={9'd363,9'd483}; +12'd3743:{rom_a,rom_theta}<={9'd363,9'd483}; +12'd3744:{rom_a,rom_theta}<={9'd363,9'd483}; +12'd3745:{rom_a,rom_theta}<={9'd363,9'd483}; +12'd3746:{rom_a,rom_theta}<={9'd364,9'd483}; +12'd3747:{rom_a,rom_theta}<={9'd364,9'd483}; +12'd3748:{rom_a,rom_theta}<={9'd364,9'd483}; +12'd3749:{rom_a,rom_theta}<={9'd364,9'd483}; +12'd3750:{rom_a,rom_theta}<={9'd364,9'd483}; +12'd3751:{rom_a,rom_theta}<={9'd365,9'd483}; +12'd3752:{rom_a,rom_theta}<={9'd365,9'd483}; +12'd3753:{rom_a,rom_theta}<={9'd365,9'd484}; +12'd3754:{rom_a,rom_theta}<={9'd365,9'd484}; +12'd3755:{rom_a,rom_theta}<={9'd365,9'd484}; +12'd3756:{rom_a,rom_theta}<={9'd365,9'd484}; +12'd3757:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3758:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3759:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3760:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3761:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3762:{rom_a,rom_theta}<={9'd366,9'd484}; +12'd3763:{rom_a,rom_theta}<={9'd367,9'd484}; +12'd3764:{rom_a,rom_theta}<={9'd367,9'd484}; +12'd3765:{rom_a,rom_theta}<={9'd367,9'd485}; +12'd3766:{rom_a,rom_theta}<={9'd367,9'd485}; +12'd3767:{rom_a,rom_theta}<={9'd367,9'd485}; +12'd3768:{rom_a,rom_theta}<={9'd367,9'd485}; +12'd3769:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3770:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3771:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3772:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3773:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3774:{rom_a,rom_theta}<={9'd368,9'd485}; +12'd3775:{rom_a,rom_theta}<={9'd369,9'd485}; +12'd3776:{rom_a,rom_theta}<={9'd369,9'd486}; +12'd3777:{rom_a,rom_theta}<={9'd369,9'd486}; +12'd3778:{rom_a,rom_theta}<={9'd369,9'd486}; +12'd3779:{rom_a,rom_theta}<={9'd369,9'd486}; +12'd3780:{rom_a,rom_theta}<={9'd369,9'd486}; +12'd3781:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3782:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3783:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3784:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3785:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3786:{rom_a,rom_theta}<={9'd370,9'd486}; +12'd3787:{rom_a,rom_theta}<={9'd371,9'd486}; +12'd3788:{rom_a,rom_theta}<={9'd371,9'd487}; +12'd3789:{rom_a,rom_theta}<={9'd371,9'd487}; +12'd3790:{rom_a,rom_theta}<={9'd371,9'd487}; +12'd3791:{rom_a,rom_theta}<={9'd371,9'd487}; +12'd3792:{rom_a,rom_theta}<={9'd371,9'd487}; +12'd3793:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3794:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3795:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3796:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3797:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3798:{rom_a,rom_theta}<={9'd372,9'd487}; +12'd3799:{rom_a,rom_theta}<={9'd373,9'd487}; +12'd3800:{rom_a,rom_theta}<={9'd373,9'd488}; +12'd3801:{rom_a,rom_theta}<={9'd373,9'd488}; +12'd3802:{rom_a,rom_theta}<={9'd373,9'd488}; +12'd3803:{rom_a,rom_theta}<={9'd373,9'd488}; +12'd3804:{rom_a,rom_theta}<={9'd373,9'd488}; +12'd3805:{rom_a,rom_theta}<={9'd374,9'd488}; +12'd3806:{rom_a,rom_theta}<={9'd374,9'd488}; +12'd3807:{rom_a,rom_theta}<={9'd374,9'd488}; +12'd3808:{rom_a,rom_theta}<={9'd374,9'd488}; +12'd3809:{rom_a,rom_theta}<={9'd374,9'd488}; +12'd3810:{rom_a,rom_theta}<={9'd375,9'd488}; +12'd3811:{rom_a,rom_theta}<={9'd375,9'd489}; +12'd3812:{rom_a,rom_theta}<={9'd375,9'd489}; +12'd3813:{rom_a,rom_theta}<={9'd375,9'd489}; +12'd3814:{rom_a,rom_theta}<={9'd375,9'd489}; +12'd3815:{rom_a,rom_theta}<={9'd375,9'd489}; +12'd3816:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3817:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3818:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3819:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3820:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3821:{rom_a,rom_theta}<={9'd376,9'd489}; +12'd3822:{rom_a,rom_theta}<={9'd377,9'd489}; +12'd3823:{rom_a,rom_theta}<={9'd377,9'd490}; +12'd3824:{rom_a,rom_theta}<={9'd377,9'd490}; +12'd3825:{rom_a,rom_theta}<={9'd377,9'd490}; +12'd3826:{rom_a,rom_theta}<={9'd377,9'd490}; +12'd3827:{rom_a,rom_theta}<={9'd377,9'd490}; +12'd3828:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3829:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3830:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3831:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3832:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3833:{rom_a,rom_theta}<={9'd378,9'd490}; +12'd3834:{rom_a,rom_theta}<={9'd379,9'd490}; +12'd3835:{rom_a,rom_theta}<={9'd379,9'd491}; +12'd3836:{rom_a,rom_theta}<={9'd379,9'd491}; +12'd3837:{rom_a,rom_theta}<={9'd379,9'd491}; +12'd3838:{rom_a,rom_theta}<={9'd379,9'd491}; +12'd3839:{rom_a,rom_theta}<={9'd379,9'd491}; +12'd3840:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3841:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3842:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3843:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3844:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3845:{rom_a,rom_theta}<={9'd380,9'd491}; +12'd3846:{rom_a,rom_theta}<={9'd381,9'd491}; +12'd3847:{rom_a,rom_theta}<={9'd381,9'd492}; +12'd3848:{rom_a,rom_theta}<={9'd381,9'd492}; +12'd3849:{rom_a,rom_theta}<={9'd381,9'd492}; +12'd3850:{rom_a,rom_theta}<={9'd381,9'd492}; +12'd3851:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3852:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3853:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3854:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3855:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3856:{rom_a,rom_theta}<={9'd382,9'd492}; +12'd3857:{rom_a,rom_theta}<={9'd383,9'd492}; +12'd3858:{rom_a,rom_theta}<={9'd383,9'd492}; +12'd3859:{rom_a,rom_theta}<={9'd383,9'd493}; +12'd3860:{rom_a,rom_theta}<={9'd383,9'd493}; +12'd3861:{rom_a,rom_theta}<={9'd383,9'd493}; +12'd3862:{rom_a,rom_theta}<={9'd383,9'd493}; +12'd3863:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3864:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3865:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3866:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3867:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3868:{rom_a,rom_theta}<={9'd384,9'd493}; +12'd3869:{rom_a,rom_theta}<={9'd385,9'd493}; +12'd3870:{rom_a,rom_theta}<={9'd385,9'd494}; +12'd3871:{rom_a,rom_theta}<={9'd385,9'd494}; +12'd3872:{rom_a,rom_theta}<={9'd385,9'd494}; +12'd3873:{rom_a,rom_theta}<={9'd385,9'd494}; +12'd3874:{rom_a,rom_theta}<={9'd385,9'd494}; +12'd3875:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3876:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3877:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3878:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3879:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3880:{rom_a,rom_theta}<={9'd386,9'd494}; +12'd3881:{rom_a,rom_theta}<={9'd387,9'd494}; +12'd3882:{rom_a,rom_theta}<={9'd387,9'd495}; +12'd3883:{rom_a,rom_theta}<={9'd387,9'd495}; +12'd3884:{rom_a,rom_theta}<={9'd387,9'd495}; +12'd3885:{rom_a,rom_theta}<={9'd387,9'd495}; +12'd3886:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3887:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3888:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3889:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3890:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3891:{rom_a,rom_theta}<={9'd388,9'd495}; +12'd3892:{rom_a,rom_theta}<={9'd389,9'd495}; +12'd3893:{rom_a,rom_theta}<={9'd389,9'd495}; +12'd3894:{rom_a,rom_theta}<={9'd389,9'd496}; +12'd3895:{rom_a,rom_theta}<={9'd389,9'd496}; +12'd3896:{rom_a,rom_theta}<={9'd389,9'd496}; +12'd3897:{rom_a,rom_theta}<={9'd389,9'd496}; +12'd3898:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3899:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3900:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3901:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3902:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3903:{rom_a,rom_theta}<={9'd390,9'd496}; +12'd3904:{rom_a,rom_theta}<={9'd391,9'd496}; +12'd3905:{rom_a,rom_theta}<={9'd391,9'd496}; +12'd3906:{rom_a,rom_theta}<={9'd391,9'd497}; +12'd3907:{rom_a,rom_theta}<={9'd391,9'd497}; +12'd3908:{rom_a,rom_theta}<={9'd391,9'd497}; +12'd3909:{rom_a,rom_theta}<={9'd391,9'd497}; +12'd3910:{rom_a,rom_theta}<={9'd392,9'd497}; +12'd3911:{rom_a,rom_theta}<={9'd392,9'd497}; +12'd3912:{rom_a,rom_theta}<={9'd392,9'd497}; +12'd3913:{rom_a,rom_theta}<={9'd392,9'd497}; +12'd3914:{rom_a,rom_theta}<={9'd392,9'd497}; +12'd3915:{rom_a,rom_theta}<={9'd393,9'd497}; +12'd3916:{rom_a,rom_theta}<={9'd393,9'd497}; +12'd3917:{rom_a,rom_theta}<={9'd393,9'd497}; +12'd3918:{rom_a,rom_theta}<={9'd393,9'd498}; +12'd3919:{rom_a,rom_theta}<={9'd393,9'd498}; +12'd3920:{rom_a,rom_theta}<={9'd393,9'd498}; +12'd3921:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3922:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3923:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3924:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3925:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3926:{rom_a,rom_theta}<={9'd394,9'd498}; +12'd3927:{rom_a,rom_theta}<={9'd395,9'd498}; +12'd3928:{rom_a,rom_theta}<={9'd395,9'd498}; +12'd3929:{rom_a,rom_theta}<={9'd395,9'd498}; +12'd3930:{rom_a,rom_theta}<={9'd395,9'd499}; +12'd3931:{rom_a,rom_theta}<={9'd395,9'd499}; +12'd3932:{rom_a,rom_theta}<={9'd395,9'd499}; +12'd3933:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3934:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3935:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3936:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3937:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3938:{rom_a,rom_theta}<={9'd396,9'd499}; +12'd3939:{rom_a,rom_theta}<={9'd397,9'd499}; +12'd3940:{rom_a,rom_theta}<={9'd397,9'd499}; +12'd3941:{rom_a,rom_theta}<={9'd397,9'd499}; +12'd3942:{rom_a,rom_theta}<={9'd397,9'd500}; +12'd3943:{rom_a,rom_theta}<={9'd397,9'd500}; +12'd3944:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3945:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3946:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3947:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3948:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3949:{rom_a,rom_theta}<={9'd398,9'd500}; +12'd3950:{rom_a,rom_theta}<={9'd399,9'd500}; +12'd3951:{rom_a,rom_theta}<={9'd399,9'd500}; +12'd3952:{rom_a,rom_theta}<={9'd399,9'd500}; +12'd3953:{rom_a,rom_theta}<={9'd399,9'd500}; +12'd3954:{rom_a,rom_theta}<={9'd399,9'd501}; +12'd3955:{rom_a,rom_theta}<={9'd399,9'd501}; +12'd3956:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3957:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3958:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3959:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3960:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3961:{rom_a,rom_theta}<={9'd400,9'd501}; +12'd3962:{rom_a,rom_theta}<={9'd401,9'd501}; +12'd3963:{rom_a,rom_theta}<={9'd401,9'd501}; +12'd3964:{rom_a,rom_theta}<={9'd401,9'd501}; +12'd3965:{rom_a,rom_theta}<={9'd401,9'd501}; +12'd3966:{rom_a,rom_theta}<={9'd401,9'd501}; +12'd3967:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3968:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3969:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3970:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3971:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3972:{rom_a,rom_theta}<={9'd402,9'd502}; +12'd3973:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3974:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3975:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3976:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3977:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3978:{rom_a,rom_theta}<={9'd403,9'd502}; +12'd3979:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3980:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3981:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3982:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3983:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3984:{rom_a,rom_theta}<={9'd404,9'd503}; +12'd3985:{rom_a,rom_theta}<={9'd405,9'd503}; +12'd3986:{rom_a,rom_theta}<={9'd405,9'd503}; +12'd3987:{rom_a,rom_theta}<={9'd405,9'd503}; +12'd3988:{rom_a,rom_theta}<={9'd405,9'd503}; +12'd3989:{rom_a,rom_theta}<={9'd405,9'd503}; +12'd3990:{rom_a,rom_theta}<={9'd406,9'd503}; +12'd3991:{rom_a,rom_theta}<={9'd406,9'd504}; +12'd3992:{rom_a,rom_theta}<={9'd406,9'd504}; +12'd3993:{rom_a,rom_theta}<={9'd406,9'd504}; +12'd3994:{rom_a,rom_theta}<={9'd406,9'd504}; +12'd3995:{rom_a,rom_theta}<={9'd406,9'd504}; +12'd3996:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd3997:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd3998:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd3999:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd4000:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd4001:{rom_a,rom_theta}<={9'd407,9'd504}; +12'd4002:{rom_a,rom_theta}<={9'd408,9'd504}; +12'd4003:{rom_a,rom_theta}<={9'd408,9'd505}; +12'd4004:{rom_a,rom_theta}<={9'd408,9'd505}; +12'd4005:{rom_a,rom_theta}<={9'd408,9'd505}; +12'd4006:{rom_a,rom_theta}<={9'd408,9'd505}; +12'd4007:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4008:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4009:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4010:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4011:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4012:{rom_a,rom_theta}<={9'd409,9'd505}; +12'd4013:{rom_a,rom_theta}<={9'd410,9'd505}; +12'd4014:{rom_a,rom_theta}<={9'd410,9'd505}; +12'd4015:{rom_a,rom_theta}<={9'd410,9'd505}; +12'd4016:{rom_a,rom_theta}<={9'd410,9'd506}; +12'd4017:{rom_a,rom_theta}<={9'd410,9'd506}; +12'd4018:{rom_a,rom_theta}<={9'd410,9'd506}; +12'd4019:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4020:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4021:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4022:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4023:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4024:{rom_a,rom_theta}<={9'd411,9'd506}; +12'd4025:{rom_a,rom_theta}<={9'd412,9'd506}; +12'd4026:{rom_a,rom_theta}<={9'd412,9'd506}; +12'd4027:{rom_a,rom_theta}<={9'd412,9'd506}; +12'd4028:{rom_a,rom_theta}<={9'd412,9'd507}; +12'd4029:{rom_a,rom_theta}<={9'd412,9'd507}; +12'd4030:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4031:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4032:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4033:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4034:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4035:{rom_a,rom_theta}<={9'd413,9'd507}; +12'd4036:{rom_a,rom_theta}<={9'd414,9'd507}; +12'd4037:{rom_a,rom_theta}<={9'd414,9'd507}; +12'd4038:{rom_a,rom_theta}<={9'd414,9'd507}; +12'd4039:{rom_a,rom_theta}<={9'd414,9'd507}; +12'd4040:{rom_a,rom_theta}<={9'd414,9'd508}; +12'd4041:{rom_a,rom_theta}<={9'd414,9'd508}; +12'd4042:{rom_a,rom_theta}<={9'd415,9'd508}; +12'd4043:{rom_a,rom_theta}<={9'd415,9'd508}; +12'd4044:{rom_a,rom_theta}<={9'd415,9'd508}; +12'd4045:{rom_a,rom_theta}<={9'd415,9'd508}; +12'd4046:{rom_a,rom_theta}<={9'd415,9'd508}; +12'd4047:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4048:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4049:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4050:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4051:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4052:{rom_a,rom_theta}<={9'd416,9'd508}; +12'd4053:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4054:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4055:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4056:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4057:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4058:{rom_a,rom_theta}<={9'd417,9'd509}; +12'd4059:{rom_a,rom_theta}<={9'd418,9'd509}; +12'd4060:{rom_a,rom_theta}<={9'd418,9'd509}; +12'd4061:{rom_a,rom_theta}<={9'd418,9'd509}; +12'd4062:{rom_a,rom_theta}<={9'd418,9'd509}; +12'd4063:{rom_a,rom_theta}<={9'd418,9'd509}; +12'd4064:{rom_a,rom_theta}<={9'd419,9'd509}; +12'd4065:{rom_a,rom_theta}<={9'd419,9'd510}; +12'd4066:{rom_a,rom_theta}<={9'd419,9'd510}; +12'd4067:{rom_a,rom_theta}<={9'd419,9'd510}; +12'd4068:{rom_a,rom_theta}<={9'd419,9'd510}; +12'd4069:{rom_a,rom_theta}<={9'd419,9'd510}; +12'd4070:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4071:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4072:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4073:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4074:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4075:{rom_a,rom_theta}<={9'd420,9'd510}; +12'd4076:{rom_a,rom_theta}<={9'd421,9'd510}; +12'd4077:{rom_a,rom_theta}<={9'd421,9'd510}; +12'd4078:{rom_a,rom_theta}<={9'd421,9'd511}; +12'd4079:{rom_a,rom_theta}<={9'd421,9'd511}; +12'd4080:{rom_a,rom_theta}<={9'd421,9'd511}; +12'd4081:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4082:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4083:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4084:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4085:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4086:{rom_a,rom_theta}<={9'd422,9'd511}; +12'd4087:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4088:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4089:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4090:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4091:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4092:{rom_a,rom_theta}<={9'd423,9'd511}; +12'd4093:{rom_a,rom_theta}<={9'd424,9'd511}; +12'd4094:{rom_a,rom_theta}<={9'd424,9'd511}; +12'd4095:{rom_a,rom_theta}<={9'd424,9'd511}; +endcase + +endmodule diff --git a/RTL/foc/clark_tr.sv b/RTL/foc/clark_tr.sv new file mode 100644 index 0000000..7d24356 --- /dev/null +++ b/RTL/foc/clark_tr.sv @@ -0,0 +1,61 @@ +`timescale 1 ns/1 ns + +module clark_tr( + input wire rstn, + input wire clk, + input wire i_en, + input wire signed [15:0] i_ia, i_ib, i_ic, // range -8191 ~ 8191 + output reg o_en, + output reg signed [15:0] o_ialpha, o_ibeta +); + +// registers for pipeline stage 1 +reg en_s1; +reg signed [15:0] ax2_s1, bmc_s1, bpc_s1; + +// registers for pipeline stage 2 +reg en_s2; +reg signed [15:0] ialpha_s2, i_beta1_s2, i_beta2_s2, i_beta3_s2; + +// pipeline stage 1 +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {en_s1, ax2_s1, bmc_s1, bpc_s1} <= '0; + end else begin + en_s1 <= i_en; + ax2_s1 <= i_ia << 1; + bmc_s1 <= i_ib - i_ic; + bpc_s1 <= i_ib + i_ic; + end + +// pipeline stage 2 +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {en_s2, ialpha_s2, i_beta1_s2, i_beta2_s2, i_beta3_s2} <= '0; + end else begin + en_s2 <= en_s1; + ialpha_s2 <= ax2_s1 - bpc_s1; + i_beta1_s2 <= bmc_s1 + + $signed({{ 1{bmc_s1[15]}}, bmc_s1[15: 1]}) + + $signed({{ 3{bmc_s1[15]}}, bmc_s1[15: 3]}); + i_beta2_s2 <= $signed({{ 4{bmc_s1[15]}}, bmc_s1[15: 4]}) + + $signed({{ 5{bmc_s1[15]}}, bmc_s1[15: 5]}) + + $signed({{ 7{bmc_s1[15]}}, bmc_s1[15: 7]}); + i_beta3_s2 <= $signed({{ 8{bmc_s1[15]}}, bmc_s1[15: 8]}) + + $signed({{10{bmc_s1[15]}}, bmc_s1[15:10]}) + + $signed({{11{bmc_s1[15]}}, bmc_s1[15:11]}); + end + +// pipeline stage output +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {o_en, o_ialpha, o_ibeta} <= 1'b0; + end else begin + o_en <= en_s2; + if(en_s2) begin + o_ialpha <= ialpha_s2; + o_ibeta <= i_beta1_s2 + i_beta2_s2 + i_beta3_s2; + end + end + +endmodule diff --git a/RTL/foc/foc_top.sv b/RTL/foc/foc_top.sv new file mode 100644 index 0000000..cf0e14d --- /dev/null +++ b/RTL/foc/foc_top.sv @@ -0,0 +1,272 @@ +`timescale 1 ns/1 ns + +// 模块: foc_top +// 功能:FOC 算法(仅包含电流环) + SVPWM +// 参数:详见下方注释 +// 输入输出:详见下方注释 +module foc_top #( + // ----------------------------------------------- 模块参数 --------------------------------------------------------------------------------------------------------------------------------------------------- + parameter INIT_CYCLES = 16777216, // 决定了初始化步骤粘多少个时钟(clk)周期,取值范围为1~4294967294。该值不能太短,因为要留足够的时间让转子回归电角度=0。例如若时钟(clk)频率为 36.864MHz,INIT_CYCLES=16777216,则初始化时间为 16777216/36864000=0.45 秒 + parameter logic ANGLE_INV = 1'b0, // 若角度传感器没装反(A->B->C->A 的旋转方向与 φ 增大的方向相同),则该参数应设为 0。若角度传感器装反了(A->B->C->A 的旋转方向与 φ 增大的方向相反),则该参数应设为 1。 + parameter logic [ 7:0] POLE_PAIR = 8'd7, // 电机极对数 (简记为N),取值范围1~255,根据电机型号决定。(电角度ψ = 极对数N * 机械角度φ) + parameter logic [ 8:0] MAX_AMP = 9'd384, // SVPWM 的最大振幅,取值范围为1~511,该值越小,电机能达到的最大力矩越小;但考虑到使用3相下桥臂电阻采样法来采样电流,该值也不能太大,以保证3个下桥臂有足够的持续导通时间来供ADC进行采样。 + parameter logic [ 8:0] SAMPLE_DELAY = 9'd120, // 采样延时,取值范围0~511,考虑到3相的驱动 MOS 管从开始导通到电流稳定需要一定的时间,所以从3个下桥臂都导通,到 ADC 采样时刻之间需要一定的延时。该参数决定了该延时是多少个时钟周期,当延时结束时,该模块在 sn_adc 信号上产生一个高电平脉冲,指示外部 ADC “可以采样了” + parameter logic [23:0] Kp = 24'd32768,// 电流环 PID 控制算法的 P 参数 + parameter logic [23:0] Ki = 24'd2 // 电流环 PID 控制算法的 I 参数 +) ( + // ----------------------------------------------- 驱动时钟和复位 --------------------------------------------------------------------------------------------------------------------------------------------- + input wire rstn, // 复位信号,应该先拉低来对模块进行复位,然后一直保持高电平来让模块正常工作。 + input wire clk, // 时钟信号,频率可取几十MHz。控制频率 = 时钟频率 / 2048。比如若时钟频率为 36.864MHz ,那么控制频率为 36.864MHz/2048=18kHz。(控制频率 = 3相电流采样的采样率 = PID算法的控制频率 = SVPWM占空比的更新频率) + // ----------------------------------------------- 角度传感器输入信号 ----------------------------------------------------------------------------------------------------------------------------------------- + input wire [11:0] phi, // 角度传感器输入(机械角度,简记为φ),取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + // ----------------------------------------------- 3相电流 ADC 采样时刻控制信号 和采样结果输入信号 ------------------------------------------------------------------------------------------------------------ + output wire sn_adc, // 3相电流 ADC 采样时刻控制信号,当需要进行一次采样时,sn_adc 信号上产生一个时钟周期的高电平脉冲,指示ADC应该进行采样了。 + input wire en_adc, // 3相电流 ADC 采样结果有效信号,sn_adc 产生高电平脉冲后,外部ADC开始采样3相电流,在转换结束后,应在 en_adc 信号上产生一个周期的高电平脉冲,同时把ADC转换结果产生在 adc_a, adc_b, adc_c 信号上 + input wire [11:0] adc_a, adc_b, adc_c, // 3相电流 ADC 采样结果(简记为ADCa, ADCb, ADCc),取值范围0 ~ 4095 + // ----------------------------------------------- 3相 PWM 信号,(包含使能信号) ----------------------------------------------------------------------------------------------------------------------------- + output wire pwm_en, // 3相共用的使能信号,当 pwm_en=0 时,6个MOS管全部关断。 + output wire pwm_a, // A相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + output wire pwm_b, // B相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + output wire pwm_c, // C相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + // ----------------------------------------------- d/q轴(转子直角坐标系)的电流监测 -------------------------------------------------------------------------------------------------------------------------- + output wire en_idq, // 出现高电平脉冲时说明 id 和 iq 出现了新值,每个控制周期 en_idq 会产生一个高电平脉冲 + output wire signed [15:0] id, // d 轴(直轴)的实际电流值(简记为 Id),可正可负 + output wire signed [15:0] iq, // q 轴(交轴)的实际电流值(简记为 Iq),可正可负(若正代表逆时针,则负代表顺时针,反之亦然) + // ----------------------------------------------- d/q轴(转子直角坐标系)的电流控制目标 ---------------------------------------------------------------------------------------------------------------------- + input wire signed [15:0] id_aim, // d 轴(直轴)的目标电流值(简记为 Idaim),可正可负,在不使用弱磁控制的情况下一般设为0 + input wire signed [15:0] iq_aim, // q 轴(直轴)的目标电流值(简记为 Iqaim),可正可负(若正代表逆时针,则负代表顺时针,反之亦然) + // ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ + output reg init_done // 初始化结束信号。在初始化结束前=0,在初始化结束后(进入FOC控制状态)=1 +); + +reg [31:0] init_cnt; +reg [11:0] init_phi; // 初始机械角度(简记为 Φ)。即电角度=0时对应的机械角度,在初始化结束时被确定,用来在之后进行机械角度到电角度的转换。取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + +reg [11:0] psi; // 当前电角度(简记为 ψ)。取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + +reg en_iabc; // 3相上的电流有效,在产生高电平脉冲时,说明 Ia, Ib, Ic 发生更新 +reg signed [15:0] ia, ib, ic; // 3相上的电流。为正代表电流从半桥流入电机,为负代表电流从电机流入半桥。ia 是A相的电流(简记为Ia),ib 是B相的电流(简记为Ib),ic 是C相的电流(简记为Ic) + +wire en_ialphabeta; // α/β轴(定子直角坐标系)上的电流矢量有效信号,在产生高电平脉冲时,说明 Iα, Iβ 发生更新 +wire signed [15:0] ialpha, ibeta; // α/β轴(定子直角坐标系)上的电流矢量。ialpha 是 α 轴的分量(简记为 Iα),ibeta 是 β 轴的分量(简记为 Iβ) + +wire signed [15:0] vd, vq; // d/q轴(转子直角坐标系)上的电压矢量,是 PID 算法输出的值。Vd 是 d 轴 上的电压分量,Vq 是 q 轴上的电压分量 + +wire [11:0] vr_rho; // 转子极坐标系上的电压矢量的幅值(简记为 Vrρ ),由 Vd 和 Vq 转换到极坐标系得来,具体地讲,Vrρ = √(Vd^2+Vq^2) +wire [11:0] vr_theta; // 转子极坐标系上的电压矢量的角度(简记为 Vrθ ),由 vd 和 vq 转换到极坐标系得来,具体地讲,Vrθ = arctan(Vq/Vd) 。取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + +reg [11:0] vs_rho; // 定子极坐标系上的电压矢量的幅值(简记为 Vsρ ),由 Vrρ 做旋转变换得来,由于幅值的旋转不变性,实际上 Vsρ = Vrρ。 通过 SVPWM 模块,Vsρ 和 Vsθ 可以产生 3 相 PWM 信号 +reg [11:0] vs_theta; // 定子极坐标系上的电压矢量的角度(简记为 Vsθ ),由 Vrθ 做旋转变换得来,由于转子极坐标系是定子极坐标系旋转 ψ 得来,所以 Vsθ = Vrθ + ψ。 通过 SVPWM 模块,Vsρ 和 Vsθ 可以产生 3 相 PWM 信号。 Vsθ取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + + + +// 简介 :该 always 块负责从机械角度 φ 算出电角度 ψ +// 参数 :极对数 N (参数POLE_PAIR) +// 输入 :机械角度 φ +// 初始机械角度 Φ +// 输出 :电角度 ψ +// 计算公式: ψ = N * (φ - Φ) (若 A->B->C->A 的旋转方向与 φ 增大的方向相同) +// :或 ψ = -N * (φ - Φ) (若 A->B->C->A 的旋转方向与 φ 增大的方向相反,即角度传感器装反了) +// 输出更新:只要 φ 改变,ψ 就在下一周期立即改变 +generate if(ANGLE_INV) begin // 如果角度传感器装反了 + always @ (posedge clk or negedge init_done) + if(~init_done) + psi <= '0; + else + psi <= {4'h0, POLE_PAIR} * (init_phi - phi); // ψ = -N * (φ - Φ) +end else begin // 如果角度传感器没装反 + always @ (posedge clk or negedge init_done) + if(~init_done) + psi <= '0; + else + psi <= {4'h0, POLE_PAIR} * (phi - init_phi); // ψ = N * (φ - Φ) +end endgenerate + + + +// 简介 :该 always 块根据基尔霍夫电流定律(KCL)在 ADC 原始值 (ADCa, ADCb, ADCc) 上减去偏移值,计算出 3 相电流值 Ia, Ib, Ic +// 输入 :ADC 原始值 ADCa, ADCb, ADCc +// 输出 :相电流 Ia, Ib, Ic +// 计算公式:Ia = ADCb + ADCc - 2*ADCa +// Ib = ADCa + ADCc - 2*ADCb +// Ic = ADCa + ADCb - 2*ADCc +// 输出更新:ADC 每采样完成一次(即en_adc每产生一次高电平脉冲)后更新一次,即更新频率 = 控制周期,更新后 en_iabc 产生一个时钟周期的高电平脉冲 +always @ (posedge clk or negedge init_done) + if(~init_done) begin + {en_iabc, ia, ib, ic} <= '0; + end else begin + en_iabc <= en_adc; + if(en_adc) begin + ia <= $signed( {4'b0, adc_b} + {4'b0, adc_c} - {3'b0, adc_a, 1'b0} ); // Ia = ADCb + ADCc - 2*ADCa + ib <= $signed( {4'b0, adc_a} + {4'b0, adc_c} - {3'b0, adc_b, 1'b0} ); // Ib = ADCa + ADCc - 2*ADCb + ic <= $signed( {4'b0, adc_a} + {4'b0, adc_b} - {3'b0, adc_c, 1'b0} ); // Ic = ADCa + ADCb - 2*ADCc + end + end + + + +// 简介 :该模块用于进行 clark 变换,根据 3 相电流计算 α/β 轴(定子直角坐标系)的电流矢量 +// 输入 :相电流 Ia, Ib, Ic +// 输出 :α/β 轴的电流矢量 Iα, Iβ +// 计算公式:Iα = 2 * Ia - Ib - Ic +// Iβ = √3 * (Ib - Ic) +// 输出更新:en_iabc 每产生一个高电平脉冲后的若干周期后 Iα, Iβ 更新,同时 en_ialphabeta 产生一个时钟周期的高电平脉冲,即更新频率 = 控制周期 +clark_tr clark_tr_i( + .rstn ( init_done ), + .clk ( clk ), + .i_en ( en_iabc ), + .i_ia ( ia ), // input : Ia + .i_ib ( ib ), // input : Ib + .i_ic ( ic ), // input : Ic + .o_en ( en_ialphabeta ), + .o_ialpha ( ialpha ), // output: Iα + .o_ibeta ( ibeta ) // output: Iβ +); + + + +// 简介 :该模块用于进行 park 变换,根据 α/β 轴(定子直角坐标系)的电流矢量 计算 d/q 轴(转子直角坐标系)的电流矢量 +// 输入 :电角度 ψ +// α/β 轴的电流矢量 Iα, Iβ +// 输出 :d/q 轴的电流矢量 Id, Iq +// 计算公式:Id = Iα * cosψ + Iβ * sinψ; +// Iq = Iβ * cosψ - Iα * sinψ; +// 输出更新:en_ialphabeta 每产生一个高电平脉冲后的若干周期后 Id, Iq 更新,同时 en_idq 产生一个时钟周期的高电平脉冲,即更新频率 = 控制周期 +park_tr park_tr_i ( + .rstn ( init_done ), + .clk ( clk ), + .psi ( psi ), // input : ψ + .i_en ( en_ialphabeta ), + .i_ialpha ( ialpha ), // input : Iα + .i_ibeta ( ibeta ), // input : Iβ + .o_en ( en_idq ), + .o_id ( id ), // output: Id + .o_iq ( iq ) // output: Iq +); + + + +// 简介 :该模块用于进行 Id (电流矢量在d轴的分量) 的 PID 控制,根据 Id 的目标值(id_aim)和 Id 的实际值(id),算出执行变量 Vd(电压矢量在d轴上的分量) +// 输入 :电流矢量在d轴的分量的实际值(id) +// 电流矢量在d轴的分量的目标值(id_aim) +// 输出 :电压矢量在d轴上的分量(vd) +// 原理 :PID 控制(实际上没有D,只有P和I) +// 输出更新:en_idq 每产生一个高电平脉冲后的若干周期后 Vd 更新,即更新频率 = 控制周期 +pi_controller #( + .Kp ( Kp ), + .Ki ( Ki ) +) pi_id_i ( + .rstn ( init_done ), + .clk ( clk ), + .i_en ( en_idq ), + .i_aim ( id_aim ), // input : Idaim + .i_real ( id ), // input : Id + .o_en ( ), + .o_value ( vd ) // output: Vd +); + + + +// 简介 :该模块用于进行 Iq (电流矢量在q轴的分量) 的 PID 控制,根据 Iq 的目标值(iq_aim)和 Iq 的实际值(iq),算出执行变量 Vq(电压矢量在d轴上的分量) +// 输入 :电流矢量在q轴的分量的实际值(iq) +// 电流矢量在q轴的分量的目标值(iq_aim) +// 输出 :电压矢量在q轴上的分量(vq) +// 原理 :PID 控制(实际上没有D,只有P和I) +// 输出更新:en_idq 每产生一个高电平脉冲后的若干周期后 Vq 更新,即更新频率 = 控制周期 +pi_controller #( + .Kp ( Kp ), + .Ki ( Ki ) +) pi_iq_i ( + .rstn ( init_done ), + .clk ( clk ), + .i_en ( en_idq ), + .i_aim ( iq_aim ), // input : Iqaim + .i_real ( iq ), // input : Iq + .o_en ( ), + .o_value ( vq ) // output: Vq +); + + + +// 简介 :该模块用于把电压矢量从转子直角坐标系 (Vd, Vq) 变换到转子极坐标系 (Vrρ, Vrθ) +// 输入 :电压矢量在转子直角坐标系的 d 轴上的分量(Vd) +// 电压矢量在转子直角坐标系的 q 轴上的分量(Vq) +// 输出 :电压矢量在转子极坐标系上的幅值(Vrρ) +// 原理 :电压矢量在转子极坐标系上的角度(Vrθ) +// 输出更新:Vd, Vq 每产生变化的若干周期后 Vrρ 和 Vrθ 更新,更新频率 = 控制周期 +cartesian2polar cartesian2polar_i ( + .rstn ( init_done ), + .clk ( clk ), + .i_en ( 1'b1 ), + .i_x ( vd ), // input : Vd + .i_y ( vq ), // input : Vq + .o_en ( ), + .o_rho ( vr_rho ), // output: Vrρ + .o_theta ( vr_theta ) // output: Vrθ +); + + + +// 简介 :该 always 块用于进行初始化 和 反park变换 +// 一、初始化: 进行初始机械角度标定。首先令 Vsρ 取最大,Vsθ=0,则转子自然会转到电角度 ψ=0 的地方。然后记录下此时的机械角度 φ 作为初始机械角度 Φ 。则之后就可以用公式 ψ = N * (φ - Φ) 计算电角度。 +// 二、反park变换: 初始化完成后,持续地把电压矢量从转子极坐标系 (Vrρ, Vrθ) 变换到 定子极坐标系 (Vsρ, Vsθ) +// 输入 :φ,Vrρ, Vrθ +// 输出 :Φ,Vsρ, Vsθ,init_done +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {vs_rho, vs_theta} <= '0; + init_cnt <= '0; + init_phi <= '0; + init_done <= 1'b0; + end else begin + if(init_cnt<=INIT_CYCLES) begin // 若 init_cnt 计数变量 <= INIT_CYCLES ,则初始化未完成 + vs_rho <= 12'd4095; // 初始化阶段令 Vsρ 取最大 + vs_theta <= 12'd0; // 初始化阶段令 Vsθ = 0 + init_cnt <= init_cnt + 1; + if(init_cnt==INIT_CYCLES) begin // 若 init_cnt 计数变量 == INIT_CYCLES , 说明初始化即将完成 + init_phi <= phi; // 记录当前机械角度φ 作为初始机械角度 Φ + init_done <= 1'b1; // 令 init_done = 1 ,指示初始化结束 + end + end else begin // 若 init_cnt 计数变量 > INIT_CYCLES ,则初始化完成 + vs_rho <= vr_rho; // 反park变换。由于幅值的旋转不变性,Vsρ = Vrρ + vs_theta <= vr_theta + psi; // 反park变换。由于转子极坐标系是定子极坐标系旋转 ψ 得来,所以 Vsθ = Vrθ + ψ + end + end + + + +// 简介 :该模块是7段式 SVPWM 发生器,用于生成 3 相上的 PWM 信号。 +// 输入 :定子极坐标系下的电压矢量 Vsρ, Vsθ +// 输出 :PWM使能信号 pwm_en +// 3相PWM信号 pwm_a, pwm_b, pwm_c +// 说明 :该模块产生的 PWM 的频率是 clk 频率 / 2048。例如 clk 为 36.864MHz ,则 PWM 的频率为 36.864MHz / 2048 = 18kHz +svpwm svpwm_i ( + .rstn ( rstn ), + .clk ( clk ), + .v_amp ( MAX_AMP ), + .v_rho ( vs_rho ), // input : Vsρ + .v_theta ( vs_theta ), // input : Vsθ + .pwm_en ( pwm_en ), // output + .pwm_a ( pwm_a ), // output + .pwm_b ( pwm_b ), // output + .pwm_c ( pwm_c ) // output +); + + + +// 简介 :该模块用于控制相电流检测 ADC 的采样时机 +// 输入 :3相PWM信号 pwm_a, pwm_b, pwm_c +// 输出 :3相电流 ADC 采样时刻控制信号 sn_adc +// 原理 :该模块检测 pwm_a, pwm_b, pwm_c 均为低电平的时刻,并延迟 SAMPLE_DELAY 的时钟周期,在 sn_adc 信号上产生一个时钟周期的高电平。 +hold_detect #( + .SAMPLE_DELAY ( SAMPLE_DELAY ) +) adc_sn_ctrl_i ( + .rstn ( init_done ), + .clk ( clk ), + .in ( ~pwm_a & ~pwm_b & ~pwm_c ), // input : 当 pwm_a, pwm_b, pwm_c 均为低电平时=1,否则=0 + .out ( sn_adc ) // output: 若输入信号=1并保持 SAMPLE_DELAY 个周期,则 sn_adc 上产生1个周期的高电平脉冲 +); + + +endmodule + diff --git a/RTL/foc/hold_detect.sv b/RTL/foc/hold_detect.sv new file mode 100644 index 0000000..5752407 --- /dev/null +++ b/RTL/foc/hold_detect.sv @@ -0,0 +1,40 @@ +`timescale 1 ns/1 ns + +module hold_detect #( + parameter [15:0] SAMPLE_DELAY = 16'd100 +) ( + input wire rstn, + input wire clk, + input wire in, + output reg out +); + +reg latch1, latch2; +reg [15:0] cnt; + +always @ (posedge clk or negedge rstn) + if(~rstn) + {latch1, latch2} <= '1; + else + {latch1, latch2} <= {in, latch1}; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + out <= 1'b0; + cnt <= 16'd0; + end else begin + out <= 1'b0; + if(latch1) begin + if(latch2) begin + if( cnt != 16'd0 ) + cnt <= cnt - 16'd1; + out <= cnt == 16'd1; + end else begin + cnt <= SAMPLE_DELAY; + end + end else begin + cnt <= 16'd0; + end + end + +endmodule diff --git a/RTL/foc/park_tr.sv b/RTL/foc/park_tr.sv new file mode 100644 index 0000000..f16b186 --- /dev/null +++ b/RTL/foc/park_tr.sv @@ -0,0 +1,53 @@ +`timescale 1 ns/1 ns + +module park_tr( + input wire rstn, + input wire clk, + input wire [11:0] psi, + input wire i_en, + input wire signed [15:0] i_ialpha, i_ibeta, + output reg o_en, + output reg signed [15:0] o_id, o_iq +); + +reg signed [15:0] sin_psi, cos_psi; // -1~+1 is mapped to -16384~+16384 + +reg en_s1; +reg signed [31:0] alpha_cos, alpha_sin, beta_cos, beta_sin; + +wire signed[31:0] ide = alpha_cos + beta_sin; +wire signed[31:0] iqe = beta_cos - alpha_sin; + +sincos sincos_i( + .rstn ( rstn ), + .clk ( clk ), + .i_en ( 1'b1 ), + .i_theta ( psi ), + .o_en ( ), + .o_sin ( sin_psi ), + .o_cos ( cos_psi ) +); + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {en_s1, alpha_cos, alpha_sin, beta_cos, beta_sin} <= '0; + end else begin + en_s1 <= i_en; + alpha_cos <= i_ialpha * cos_psi; + alpha_sin <= i_ialpha * sin_psi; + beta_cos <= i_ibeta * cos_psi; + beta_sin <= i_ibeta * sin_psi; + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {o_en, o_id, o_iq} <= '0; + end else begin + o_en <= en_s1; + if(en_s1) begin + o_id <= ide[31:16]; + o_iq <= iqe[31:16]; + end + end + +endmodule diff --git a/RTL/foc/pi_controller.sv b/RTL/foc/pi_controller.sv new file mode 100644 index 0000000..f2ee93e --- /dev/null +++ b/RTL/foc/pi_controller.sv @@ -0,0 +1,102 @@ +`timescale 1 ns/1 ns + +module pi_controller #( + parameter logic [23:0] Kp = 24'd32768, + parameter logic [23:0] Ki = 24'd2 +) ( + input wire rstn, + input wire clk, + input wire i_en, + input wire signed [15:0] i_aim, + input wire signed [15:0] i_real, + output reg o_en, + output wire signed [15:0] o_value +); + +reg en1, en2, en3, en4; +reg signed [31:0] pdelta, idelta, kpdelta1, kpdelta, kidelta, kpidelta, value; + +assign o_value = value[31:16]; + +function automatic logic signed [31:0] protect_add(input logic signed [31:0] a, input logic signed [31:0] b); + automatic logic signed [32:0] y; + y = $signed({a[31],a}) + $signed({b[31],b}); + if( y > $signed(33'h7fffffff) ) + return $signed(32'h7fffffff); + else if(y < -$signed(33'h7fffffff) ) + return -$signed(32'h7fffffff); + else + return $signed(y[31:0]); +endfunction + +function automatic logic signed [31:0] protect_mul(input logic signed [31:0] a, input logic signed [24:0] b); + automatic logic signed [56:0] y; + y = a * b; + if( y > $signed(57'h7fffffff) ) + return $signed(32'h7fffffff); + else if(y < -$signed(57'h7fffffff) ) + return -$signed(32'h7fffffff); + else + return $signed(y[31:0]); +endfunction + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + en1 <= 1'b0; + pdelta <= 0; + end else begin + en1 <= i_en; + if(i_en) begin + pdelta <= $signed({{16{i_aim[15]}},i_aim}) - $signed({{16{i_real[15]}},i_real}); + end + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + en2 <= 1'b0; + kpdelta1 <= 0; + idelta <= 0; + end else begin + en2 <= en1; + if(en1) begin + kpdelta1 <= protect_mul(pdelta, $signed({1'b0,Kp}) ); + idelta <= protect_add(idelta, pdelta); + end + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + en3 <= 1'b0; + kpdelta <= 0; + kidelta <= 0; + end else begin + en3 <= en2; + if(en2) begin + kpdelta <= kpdelta1; + kidelta <= protect_mul(idelta, $signed({1'b0,Ki}) ); + end + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + en4 <= 1'b0; + kpidelta <= 0; + end else begin + en4 <= en3; + if(en3) begin + kpidelta <= protect_add(kpdelta, kidelta); + end + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + o_en <= 1'b0; + value <= 0; + end else begin + o_en <= en4; + if(en4) begin + value <= protect_add(value, kpidelta); + end + end + +endmodule diff --git a/RTL/foc/sincos.sv b/RTL/foc/sincos.sv new file mode 100644 index 0000000..648066d --- /dev/null +++ b/RTL/foc/sincos.sv @@ -0,0 +1,1118 @@ +`timescale 1 ns/1 ns + +module sincos( + input wire rstn, + input wire clk, + input wire i_en, + input wire [11:0] i_theta, // a round is mapped to 0~4095 + output reg o_en, + output reg signed [15:0] o_sin, o_cos // -1~+1 is mapped to -16384~+16384 +); + +enum logic [2:0] {IDLE, S1, S2, S3, S4, S5} stat; + +reg [11:0] theta_a, theta_b; +reg cos_z, cos_s, sin_z, sin_s; +reg [ 9:0] rom_x; +reg [14:0] rom_y; +reg signed [15:0] cos_tmp; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + stat <= IDLE; + {theta_a, theta_b} <= '0; + {cos_z, cos_s, sin_z, sin_s} <= '0; + rom_x <= '0; + cos_tmp <= '0; + {o_en, o_sin, o_cos} <= '0; + end else begin + o_en <= 1'b0; + case(stat) + IDLE: if(i_en) begin + stat <= S1; + theta_a <= i_theta - 12'd1024; + if(i_theta>12'd2048) + theta_b <= 12'd0 - i_theta; + else + theta_b <= i_theta; + end + S1: begin + stat <= S2; + if(theta_a>12'd2048) + theta_b <= 12'd0 - theta_a; + else + theta_b <= theta_a; + if(theta_b>12'd1024) begin + rom_x <= 10'd0 - theta_b[9:0]; + cos_z <= 1'b0; + cos_s <= 1'b1; + end else begin + rom_x <= theta_b[9:0]; + cos_z <= theta_b==12'd1024; + cos_s <= 1'b0; + end + end + S2: begin + stat <= S3; + if(theta_b>12'd1024) begin + rom_x <= 10'd0 - theta_b[9:0]; + sin_z <= 1'b0; + sin_s <= 1'b1; + end else begin + rom_x <= theta_b[9:0]; + sin_z <= theta_b==12'd1024; + sin_s <= 1'b0; + end + end + S3: begin + stat <= S4; + if(cos_z) + cos_tmp <= '0; + else if(cos_s) + cos_tmp <= -$signed({1'b0,rom_y}); + else + cos_tmp <= $signed({1'b0,rom_y}); + end + S4: begin + stat <= S5; + o_en <= 1'b1; + o_cos <= cos_tmp; + if(sin_z) + o_sin <= '0; + else if(sin_s) + o_sin <= -$signed({1'b0,rom_y}); + else + o_sin <= $signed({1'b0,rom_y}); + end + S5: stat <= IDLE; + endcase + end + +always @ (posedge clk) +case(rom_x) +10'd0:rom_y<=15'd16384; +10'd1:rom_y<=15'd16384; +10'd2:rom_y<=15'd16384; +10'd3:rom_y<=15'd16384; +10'd4:rom_y<=15'd16384; +10'd5:rom_y<=15'd16384; +10'd6:rom_y<=15'd16383; +10'd7:rom_y<=15'd16383; +10'd8:rom_y<=15'd16383; +10'd9:rom_y<=15'd16382; +10'd10:rom_y<=15'd16382; +10'd11:rom_y<=15'd16382; +10'd12:rom_y<=15'd16381; +10'd13:rom_y<=15'd16381; +10'd14:rom_y<=15'd16380; +10'd15:rom_y<=15'd16380; +10'd16:rom_y<=15'd16379; +10'd17:rom_y<=15'd16378; +10'd18:rom_y<=15'd16378; +10'd19:rom_y<=15'd16377; +10'd20:rom_y<=15'd16376; +10'd21:rom_y<=15'd16375; +10'd22:rom_y<=15'd16375; +10'd23:rom_y<=15'd16374; +10'd24:rom_y<=15'd16373; +10'd25:rom_y<=15'd16372; +10'd26:rom_y<=15'd16371; +10'd27:rom_y<=15'd16370; +10'd28:rom_y<=15'd16369; +10'd29:rom_y<=15'd16368; +10'd30:rom_y<=15'd16367; +10'd31:rom_y<=15'd16365; +10'd32:rom_y<=15'd16364; +10'd33:rom_y<=15'd16363; +10'd34:rom_y<=15'd16362; +10'd35:rom_y<=15'd16360; +10'd36:rom_y<=15'd16359; +10'd37:rom_y<=15'd16358; +10'd38:rom_y<=15'd16356; +10'd39:rom_y<=15'd16355; +10'd40:rom_y<=15'd16353; +10'd41:rom_y<=15'd16352; +10'd42:rom_y<=15'd16350; +10'd43:rom_y<=15'd16348; +10'd44:rom_y<=15'd16347; +10'd45:rom_y<=15'd16345; +10'd46:rom_y<=15'd16343; +10'd47:rom_y<=15'd16341; +10'd48:rom_y<=15'd16340; +10'd49:rom_y<=15'd16338; +10'd50:rom_y<=15'd16336; +10'd51:rom_y<=15'd16334; +10'd52:rom_y<=15'd16332; +10'd53:rom_y<=15'd16330; +10'd54:rom_y<=15'd16328; +10'd55:rom_y<=15'd16326; +10'd56:rom_y<=15'd16324; +10'd57:rom_y<=15'd16321; +10'd58:rom_y<=15'd16319; +10'd59:rom_y<=15'd16317; +10'd60:rom_y<=15'd16315; +10'd61:rom_y<=15'd16312; +10'd62:rom_y<=15'd16310; +10'd63:rom_y<=15'd16308; +10'd64:rom_y<=15'd16305; +10'd65:rom_y<=15'd16303; +10'd66:rom_y<=15'd16300; +10'd67:rom_y<=15'd16298; +10'd68:rom_y<=15'd16295; +10'd69:rom_y<=15'd16292; +10'd70:rom_y<=15'd16290; +10'd71:rom_y<=15'd16287; +10'd72:rom_y<=15'd16284; +10'd73:rom_y<=15'd16281; +10'd74:rom_y<=15'd16279; +10'd75:rom_y<=15'd16276; +10'd76:rom_y<=15'd16273; +10'd77:rom_y<=15'd16270; +10'd78:rom_y<=15'd16267; +10'd79:rom_y<=15'd16264; +10'd80:rom_y<=15'd16261; +10'd81:rom_y<=15'd16258; +10'd82:rom_y<=15'd16255; +10'd83:rom_y<=15'd16251; +10'd84:rom_y<=15'd16248; +10'd85:rom_y<=15'd16245; +10'd86:rom_y<=15'd16242; +10'd87:rom_y<=15'd16238; +10'd88:rom_y<=15'd16235; +10'd89:rom_y<=15'd16232; +10'd90:rom_y<=15'd16228; +10'd91:rom_y<=15'd16225; +10'd92:rom_y<=15'd16221; +10'd93:rom_y<=15'd16218; +10'd94:rom_y<=15'd16214; +10'd95:rom_y<=15'd16210; +10'd96:rom_y<=15'd16207; +10'd97:rom_y<=15'd16203; +10'd98:rom_y<=15'd16199; +10'd99:rom_y<=15'd16195; +10'd100:rom_y<=15'd16192; +10'd101:rom_y<=15'd16188; +10'd102:rom_y<=15'd16184; +10'd103:rom_y<=15'd16180; +10'd104:rom_y<=15'd16176; +10'd105:rom_y<=15'd16172; +10'd106:rom_y<=15'd16168; +10'd107:rom_y<=15'd16164; +10'd108:rom_y<=15'd16160; +10'd109:rom_y<=15'd16156; +10'd110:rom_y<=15'd16151; +10'd111:rom_y<=15'd16147; +10'd112:rom_y<=15'd16143; +10'd113:rom_y<=15'd16138; +10'd114:rom_y<=15'd16134; +10'd115:rom_y<=15'd16130; +10'd116:rom_y<=15'd16125; +10'd117:rom_y<=15'd16121; +10'd118:rom_y<=15'd16116; +10'd119:rom_y<=15'd16112; +10'd120:rom_y<=15'd16107; +10'd121:rom_y<=15'd16103; +10'd122:rom_y<=15'd16098; +10'd123:rom_y<=15'd16093; +10'd124:rom_y<=15'd16088; +10'd125:rom_y<=15'd16084; +10'd126:rom_y<=15'd16079; +10'd127:rom_y<=15'd16074; +10'd128:rom_y<=15'd16069; +10'd129:rom_y<=15'd16064; +10'd130:rom_y<=15'd16059; +10'd131:rom_y<=15'd16054; +10'd132:rom_y<=15'd16049; +10'd133:rom_y<=15'd16044; +10'd134:rom_y<=15'd16039; +10'd135:rom_y<=15'd16034; +10'd136:rom_y<=15'd16029; +10'd137:rom_y<=15'd16024; +10'd138:rom_y<=15'd16018; +10'd139:rom_y<=15'd16013; +10'd140:rom_y<=15'd16008; +10'd141:rom_y<=15'd16002; +10'd142:rom_y<=15'd15997; +10'd143:rom_y<=15'd15991; +10'd144:rom_y<=15'd15986; +10'd145:rom_y<=15'd15980; +10'd146:rom_y<=15'd15975; +10'd147:rom_y<=15'd15969; +10'd148:rom_y<=15'd15964; +10'd149:rom_y<=15'd15958; +10'd150:rom_y<=15'd15952; +10'd151:rom_y<=15'd15946; +10'd152:rom_y<=15'd15941; +10'd153:rom_y<=15'd15935; +10'd154:rom_y<=15'd15929; +10'd155:rom_y<=15'd15923; +10'd156:rom_y<=15'd15917; +10'd157:rom_y<=15'd15911; +10'd158:rom_y<=15'd15905; +10'd159:rom_y<=15'd15899; +10'd160:rom_y<=15'd15893; +10'd161:rom_y<=15'd15887; +10'd162:rom_y<=15'd15881; +10'd163:rom_y<=15'd15875; +10'd164:rom_y<=15'd15868; +10'd165:rom_y<=15'd15862; +10'd166:rom_y<=15'd15856; +10'd167:rom_y<=15'd15849; +10'd168:rom_y<=15'd15843; +10'd169:rom_y<=15'd15837; +10'd170:rom_y<=15'd15830; +10'd171:rom_y<=15'd15824; +10'd172:rom_y<=15'd15817; +10'd173:rom_y<=15'd15810; +10'd174:rom_y<=15'd15804; +10'd175:rom_y<=15'd15797; +10'd176:rom_y<=15'd15791; +10'd177:rom_y<=15'd15784; +10'd178:rom_y<=15'd15777; +10'd179:rom_y<=15'd15770; +10'd180:rom_y<=15'd15763; +10'd181:rom_y<=15'd15757; +10'd182:rom_y<=15'd15750; +10'd183:rom_y<=15'd15743; +10'd184:rom_y<=15'd15736; +10'd185:rom_y<=15'd15729; +10'd186:rom_y<=15'd15722; +10'd187:rom_y<=15'd15715; +10'd188:rom_y<=15'd15707; +10'd189:rom_y<=15'd15700; +10'd190:rom_y<=15'd15693; +10'd191:rom_y<=15'd15686; +10'd192:rom_y<=15'd15679; +10'd193:rom_y<=15'd15671; +10'd194:rom_y<=15'd15664; +10'd195:rom_y<=15'd15656; +10'd196:rom_y<=15'd15649; +10'd197:rom_y<=15'd15642; +10'd198:rom_y<=15'd15634; +10'd199:rom_y<=15'd15627; +10'd200:rom_y<=15'd15619; +10'd201:rom_y<=15'd15611; +10'd202:rom_y<=15'd15604; +10'd203:rom_y<=15'd15596; +10'd204:rom_y<=15'd15588; +10'd205:rom_y<=15'd15581; +10'd206:rom_y<=15'd15573; +10'd207:rom_y<=15'd15565; +10'd208:rom_y<=15'd15557; +10'd209:rom_y<=15'd15549; +10'd210:rom_y<=15'd15541; +10'd211:rom_y<=15'd15533; +10'd212:rom_y<=15'd15525; +10'd213:rom_y<=15'd15517; +10'd214:rom_y<=15'd15509; +10'd215:rom_y<=15'd15501; +10'd216:rom_y<=15'd15493; +10'd217:rom_y<=15'd15485; +10'd218:rom_y<=15'd15476; +10'd219:rom_y<=15'd15468; +10'd220:rom_y<=15'd15460; +10'd221:rom_y<=15'd15451; +10'd222:rom_y<=15'd15443; +10'd223:rom_y<=15'd15435; +10'd224:rom_y<=15'd15426; +10'd225:rom_y<=15'd15418; +10'd226:rom_y<=15'd15409; +10'd227:rom_y<=15'd15401; +10'd228:rom_y<=15'd15392; +10'd229:rom_y<=15'd15383; +10'd230:rom_y<=15'd15375; +10'd231:rom_y<=15'd15366; +10'd232:rom_y<=15'd15357; +10'd233:rom_y<=15'd15349; +10'd234:rom_y<=15'd15340; +10'd235:rom_y<=15'd15331; +10'd236:rom_y<=15'd15322; +10'd237:rom_y<=15'd15313; +10'd238:rom_y<=15'd15304; +10'd239:rom_y<=15'd15295; +10'd240:rom_y<=15'd15286; +10'd241:rom_y<=15'd15277; +10'd242:rom_y<=15'd15268; +10'd243:rom_y<=15'd15259; +10'd244:rom_y<=15'd15250; +10'd245:rom_y<=15'd15240; +10'd246:rom_y<=15'd15231; +10'd247:rom_y<=15'd15222; +10'd248:rom_y<=15'd15213; +10'd249:rom_y<=15'd15203; +10'd250:rom_y<=15'd15194; +10'd251:rom_y<=15'd15184; +10'd252:rom_y<=15'd15175; +10'd253:rom_y<=15'd15166; +10'd254:rom_y<=15'd15156; +10'd255:rom_y<=15'd15146; +10'd256:rom_y<=15'd15137; +10'd257:rom_y<=15'd15127; +10'd258:rom_y<=15'd15118; +10'd259:rom_y<=15'd15108; +10'd260:rom_y<=15'd15098; +10'd261:rom_y<=15'd15088; +10'd262:rom_y<=15'd15078; +10'd263:rom_y<=15'd15069; +10'd264:rom_y<=15'd15059; +10'd265:rom_y<=15'd15049; +10'd266:rom_y<=15'd15039; +10'd267:rom_y<=15'd15029; +10'd268:rom_y<=15'd15019; +10'd269:rom_y<=15'd15009; +10'd270:rom_y<=15'd14999; +10'd271:rom_y<=15'd14989; +10'd272:rom_y<=15'd14978; +10'd273:rom_y<=15'd14968; +10'd274:rom_y<=15'd14958; +10'd275:rom_y<=15'd14948; +10'd276:rom_y<=15'd14937; +10'd277:rom_y<=15'd14927; +10'd278:rom_y<=15'd14917; +10'd279:rom_y<=15'd14906; +10'd280:rom_y<=15'd14896; +10'd281:rom_y<=15'd14885; +10'd282:rom_y<=15'd14875; +10'd283:rom_y<=15'd14864; +10'd284:rom_y<=15'd14854; +10'd285:rom_y<=15'd14843; +10'd286:rom_y<=15'd14832; +10'd287:rom_y<=15'd14822; +10'd288:rom_y<=15'd14811; +10'd289:rom_y<=15'd14800; +10'd290:rom_y<=15'd14789; +10'd291:rom_y<=15'd14779; +10'd292:rom_y<=15'd14768; +10'd293:rom_y<=15'd14757; +10'd294:rom_y<=15'd14746; +10'd295:rom_y<=15'd14735; +10'd296:rom_y<=15'd14724; +10'd297:rom_y<=15'd14713; +10'd298:rom_y<=15'd14702; +10'd299:rom_y<=15'd14691; +10'd300:rom_y<=15'd14680; +10'd301:rom_y<=15'd14668; +10'd302:rom_y<=15'd14657; +10'd303:rom_y<=15'd14646; +10'd304:rom_y<=15'd14635; +10'd305:rom_y<=15'd14623; +10'd306:rom_y<=15'd14612; +10'd307:rom_y<=15'd14601; +10'd308:rom_y<=15'd14589; +10'd309:rom_y<=15'd14578; +10'd310:rom_y<=15'd14566; +10'd311:rom_y<=15'd14555; +10'd312:rom_y<=15'd14543; +10'd313:rom_y<=15'd14531; +10'd314:rom_y<=15'd14520; +10'd315:rom_y<=15'd14508; +10'd316:rom_y<=15'd14497; +10'd317:rom_y<=15'd14485; +10'd318:rom_y<=15'd14473; +10'd319:rom_y<=15'd14461; +10'd320:rom_y<=15'd14449; +10'd321:rom_y<=15'd14438; +10'd322:rom_y<=15'd14426; +10'd323:rom_y<=15'd14414; +10'd324:rom_y<=15'd14402; +10'd325:rom_y<=15'd14390; +10'd326:rom_y<=15'd14378; +10'd327:rom_y<=15'd14366; +10'd328:rom_y<=15'd14354; +10'd329:rom_y<=15'd14341; +10'd330:rom_y<=15'd14329; +10'd331:rom_y<=15'd14317; +10'd332:rom_y<=15'd14305; +10'd333:rom_y<=15'd14293; +10'd334:rom_y<=15'd14280; +10'd335:rom_y<=15'd14268; +10'd336:rom_y<=15'd14256; +10'd337:rom_y<=15'd14243; +10'd338:rom_y<=15'd14231; +10'd339:rom_y<=15'd14218; +10'd340:rom_y<=15'd14206; +10'd341:rom_y<=15'd14193; +10'd342:rom_y<=15'd14181; +10'd343:rom_y<=15'd14168; +10'd344:rom_y<=15'd14155; +10'd345:rom_y<=15'd14143; +10'd346:rom_y<=15'd14130; +10'd347:rom_y<=15'd14117; +10'd348:rom_y<=15'd14104; +10'd349:rom_y<=15'd14092; +10'd350:rom_y<=15'd14079; +10'd351:rom_y<=15'd14066; +10'd352:rom_y<=15'd14053; +10'd353:rom_y<=15'd14040; +10'd354:rom_y<=15'd14027; +10'd355:rom_y<=15'd14014; +10'd356:rom_y<=15'd14001; +10'd357:rom_y<=15'd13988; +10'd358:rom_y<=15'd13975; +10'd359:rom_y<=15'd13962; +10'd360:rom_y<=15'd13949; +10'd361:rom_y<=15'd13935; +10'd362:rom_y<=15'd13922; +10'd363:rom_y<=15'd13909; +10'd364:rom_y<=15'd13896; +10'd365:rom_y<=15'd13882; +10'd366:rom_y<=15'd13869; +10'd367:rom_y<=15'd13856; +10'd368:rom_y<=15'd13842; +10'd369:rom_y<=15'd13829; +10'd370:rom_y<=15'd13815; +10'd371:rom_y<=15'd13802; +10'd372:rom_y<=15'd13788; +10'd373:rom_y<=15'd13774; +10'd374:rom_y<=15'd13761; +10'd375:rom_y<=15'd13747; +10'd376:rom_y<=15'd13733; +10'd377:rom_y<=15'd13720; +10'd378:rom_y<=15'd13706; +10'd379:rom_y<=15'd13692; +10'd380:rom_y<=15'd13678; +10'd381:rom_y<=15'd13665; +10'd382:rom_y<=15'd13651; +10'd383:rom_y<=15'd13637; +10'd384:rom_y<=15'd13623; +10'd385:rom_y<=15'd13609; +10'd386:rom_y<=15'd13595; +10'd387:rom_y<=15'd13581; +10'd388:rom_y<=15'd13567; +10'd389:rom_y<=15'd13553; +10'd390:rom_y<=15'd13538; +10'd391:rom_y<=15'd13524; +10'd392:rom_y<=15'd13510; +10'd393:rom_y<=15'd13496; +10'd394:rom_y<=15'd13482; +10'd395:rom_y<=15'd13467; +10'd396:rom_y<=15'd13453; +10'd397:rom_y<=15'd13439; +10'd398:rom_y<=15'd13424; +10'd399:rom_y<=15'd13410; +10'd400:rom_y<=15'd13395; +10'd401:rom_y<=15'd13381; +10'd402:rom_y<=15'd13366; +10'd403:rom_y<=15'd13352; +10'd404:rom_y<=15'd13337; +10'd405:rom_y<=15'd13323; +10'd406:rom_y<=15'd13308; +10'd407:rom_y<=15'd13293; +10'd408:rom_y<=15'd13279; +10'd409:rom_y<=15'd13264; +10'd410:rom_y<=15'd13249; +10'd411:rom_y<=15'd13234; +10'd412:rom_y<=15'd13219; +10'd413:rom_y<=15'd13205; +10'd414:rom_y<=15'd13190; +10'd415:rom_y<=15'd13175; +10'd416:rom_y<=15'd13160; +10'd417:rom_y<=15'd13145; +10'd418:rom_y<=15'd13130; +10'd419:rom_y<=15'd13115; +10'd420:rom_y<=15'd13100; +10'd421:rom_y<=15'd13085; +10'd422:rom_y<=15'd13069; +10'd423:rom_y<=15'd13054; +10'd424:rom_y<=15'd13039; +10'd425:rom_y<=15'd13024; +10'd426:rom_y<=15'd13008; +10'd427:rom_y<=15'd12993; +10'd428:rom_y<=15'd12978; +10'd429:rom_y<=15'd12963; +10'd430:rom_y<=15'd12947; +10'd431:rom_y<=15'd12932; +10'd432:rom_y<=15'd12916; +10'd433:rom_y<=15'd12901; +10'd434:rom_y<=15'd12885; +10'd435:rom_y<=15'd12870; +10'd436:rom_y<=15'd12854; +10'd437:rom_y<=15'd12839; +10'd438:rom_y<=15'd12823; +10'd439:rom_y<=15'd12807; +10'd440:rom_y<=15'd12792; +10'd441:rom_y<=15'd12776; +10'd442:rom_y<=15'd12760; +10'd443:rom_y<=15'd12744; +10'd444:rom_y<=15'd12729; +10'd445:rom_y<=15'd12713; +10'd446:rom_y<=15'd12697; +10'd447:rom_y<=15'd12681; +10'd448:rom_y<=15'd12665; +10'd449:rom_y<=15'd12649; +10'd450:rom_y<=15'd12633; +10'd451:rom_y<=15'd12617; +10'd452:rom_y<=15'd12601; +10'd453:rom_y<=15'd12585; +10'd454:rom_y<=15'd12569; +10'd455:rom_y<=15'd12553; +10'd456:rom_y<=15'd12537; +10'd457:rom_y<=15'd12520; +10'd458:rom_y<=15'd12504; +10'd459:rom_y<=15'd12488; +10'd460:rom_y<=15'd12472; +10'd461:rom_y<=15'd12455; +10'd462:rom_y<=15'd12439; +10'd463:rom_y<=15'd12423; +10'd464:rom_y<=15'd12406; +10'd465:rom_y<=15'd12390; +10'd466:rom_y<=15'd12373; +10'd467:rom_y<=15'd12357; +10'd468:rom_y<=15'd12340; +10'd469:rom_y<=15'd12324; +10'd470:rom_y<=15'd12307; +10'd471:rom_y<=15'd12290; +10'd472:rom_y<=15'd12274; +10'd473:rom_y<=15'd12257; +10'd474:rom_y<=15'd12240; +10'd475:rom_y<=15'd12224; +10'd476:rom_y<=15'd12207; +10'd477:rom_y<=15'd12190; +10'd478:rom_y<=15'd12173; +10'd479:rom_y<=15'd12157; +10'd480:rom_y<=15'd12140; +10'd481:rom_y<=15'd12123; +10'd482:rom_y<=15'd12106; +10'd483:rom_y<=15'd12089; +10'd484:rom_y<=15'd12072; +10'd485:rom_y<=15'd12055; +10'd486:rom_y<=15'd12038; +10'd487:rom_y<=15'd12021; +10'd488:rom_y<=15'd12004; +10'd489:rom_y<=15'd11987; +10'd490:rom_y<=15'd11970; +10'd491:rom_y<=15'd11952; +10'd492:rom_y<=15'd11935; +10'd493:rom_y<=15'd11918; +10'd494:rom_y<=15'd11901; +10'd495:rom_y<=15'd11883; +10'd496:rom_y<=15'd11866; +10'd497:rom_y<=15'd11849; +10'd498:rom_y<=15'd11831; +10'd499:rom_y<=15'd11814; +10'd500:rom_y<=15'd11797; +10'd501:rom_y<=15'd11779; +10'd502:rom_y<=15'd11762; +10'd503:rom_y<=15'd11744; +10'd504:rom_y<=15'd11727; +10'd505:rom_y<=15'd11709; +10'd506:rom_y<=15'd11691; +10'd507:rom_y<=15'd11674; +10'd508:rom_y<=15'd11656; +10'd509:rom_y<=15'd11638; +10'd510:rom_y<=15'd11621; +10'd511:rom_y<=15'd11603; +10'd512:rom_y<=15'd11585; +10'd513:rom_y<=15'd11567; +10'd514:rom_y<=15'd11550; +10'd515:rom_y<=15'd11532; +10'd516:rom_y<=15'd11514; +10'd517:rom_y<=15'd11496; +10'd518:rom_y<=15'd11478; +10'd519:rom_y<=15'd11460; +10'd520:rom_y<=15'd11442; +10'd521:rom_y<=15'd11424; +10'd522:rom_y<=15'd11406; +10'd523:rom_y<=15'd11388; +10'd524:rom_y<=15'd11370; +10'd525:rom_y<=15'd11352; +10'd526:rom_y<=15'd11334; +10'd527:rom_y<=15'd11316; +10'd528:rom_y<=15'd11297; +10'd529:rom_y<=15'd11279; +10'd530:rom_y<=15'd11261; +10'd531:rom_y<=15'd11243; +10'd532:rom_y<=15'd11224; +10'd533:rom_y<=15'd11206; +10'd534:rom_y<=15'd11188; +10'd535:rom_y<=15'd11169; +10'd536:rom_y<=15'd11151; +10'd537:rom_y<=15'd11133; +10'd538:rom_y<=15'd11114; +10'd539:rom_y<=15'd11096; +10'd540:rom_y<=15'd11077; +10'd541:rom_y<=15'd11059; +10'd542:rom_y<=15'd11040; +10'd543:rom_y<=15'd11021; +10'd544:rom_y<=15'd11003; +10'd545:rom_y<=15'd10984; +10'd546:rom_y<=15'd10966; +10'd547:rom_y<=15'd10947; +10'd548:rom_y<=15'd10928; +10'd549:rom_y<=15'd10909; +10'd550:rom_y<=15'd10891; +10'd551:rom_y<=15'd10872; +10'd552:rom_y<=15'd10853; +10'd553:rom_y<=15'd10834; +10'd554:rom_y<=15'd10815; +10'd555:rom_y<=15'd10796; +10'd556:rom_y<=15'd10778; +10'd557:rom_y<=15'd10759; +10'd558:rom_y<=15'd10740; +10'd559:rom_y<=15'd10721; +10'd560:rom_y<=15'd10702; +10'd561:rom_y<=15'd10683; +10'd562:rom_y<=15'd10663; +10'd563:rom_y<=15'd10644; +10'd564:rom_y<=15'd10625; +10'd565:rom_y<=15'd10606; +10'd566:rom_y<=15'd10587; +10'd567:rom_y<=15'd10568; +10'd568:rom_y<=15'd10549; +10'd569:rom_y<=15'd10529; +10'd570:rom_y<=15'd10510; +10'd571:rom_y<=15'd10491; +10'd572:rom_y<=15'd10471; +10'd573:rom_y<=15'd10452; +10'd574:rom_y<=15'd10433; +10'd575:rom_y<=15'd10413; +10'd576:rom_y<=15'd10394; +10'd577:rom_y<=15'd10374; +10'd578:rom_y<=15'd10355; +10'd579:rom_y<=15'd10336; +10'd580:rom_y<=15'd10316; +10'd581:rom_y<=15'd10296; +10'd582:rom_y<=15'd10277; +10'd583:rom_y<=15'd10257; +10'd584:rom_y<=15'd10238; +10'd585:rom_y<=15'd10218; +10'd586:rom_y<=15'd10198; +10'd587:rom_y<=15'd10179; +10'd588:rom_y<=15'd10159; +10'd589:rom_y<=15'd10139; +10'd590:rom_y<=15'd10120; +10'd591:rom_y<=15'd10100; +10'd592:rom_y<=15'd10080; +10'd593:rom_y<=15'd10060; +10'd594:rom_y<=15'd10040; +10'd595:rom_y<=15'd10020; +10'd596:rom_y<=15'd10001; +10'd597:rom_y<=15'd9981; +10'd598:rom_y<=15'd9961; +10'd599:rom_y<=15'd9941; +10'd600:rom_y<=15'd9921; +10'd601:rom_y<=15'd9901; +10'd602:rom_y<=15'd9881; +10'd603:rom_y<=15'd9861; +10'd604:rom_y<=15'd9841; +10'd605:rom_y<=15'd9820; +10'd606:rom_y<=15'd9800; +10'd607:rom_y<=15'd9780; +10'd608:rom_y<=15'd9760; +10'd609:rom_y<=15'd9740; +10'd610:rom_y<=15'd9720; +10'd611:rom_y<=15'd9699; +10'd612:rom_y<=15'd9679; +10'd613:rom_y<=15'd9659; +10'd614:rom_y<=15'd9638; +10'd615:rom_y<=15'd9618; +10'd616:rom_y<=15'd9598; +10'd617:rom_y<=15'd9577; +10'd618:rom_y<=15'd9557; +10'd619:rom_y<=15'd9537; +10'd620:rom_y<=15'd9516; +10'd621:rom_y<=15'd9496; +10'd622:rom_y<=15'd9475; +10'd623:rom_y<=15'd9455; +10'd624:rom_y<=15'd9434; +10'd625:rom_y<=15'd9413; +10'd626:rom_y<=15'd9393; +10'd627:rom_y<=15'd9372; +10'd628:rom_y<=15'd9352; +10'd629:rom_y<=15'd9331; +10'd630:rom_y<=15'd9310; +10'd631:rom_y<=15'd9290; +10'd632:rom_y<=15'd9269; +10'd633:rom_y<=15'd9248; +10'd634:rom_y<=15'd9227; +10'd635:rom_y<=15'd9207; +10'd636:rom_y<=15'd9186; +10'd637:rom_y<=15'd9165; +10'd638:rom_y<=15'd9144; +10'd639:rom_y<=15'd9123; +10'd640:rom_y<=15'd9102; +10'd641:rom_y<=15'd9082; +10'd642:rom_y<=15'd9061; +10'd643:rom_y<=15'd9040; +10'd644:rom_y<=15'd9019; +10'd645:rom_y<=15'd8998; +10'd646:rom_y<=15'd8977; +10'd647:rom_y<=15'd8956; +10'd648:rom_y<=15'd8935; +10'd649:rom_y<=15'd8914; +10'd650:rom_y<=15'd8892; +10'd651:rom_y<=15'd8871; +10'd652:rom_y<=15'd8850; +10'd653:rom_y<=15'd8829; +10'd654:rom_y<=15'd8808; +10'd655:rom_y<=15'd8787; +10'd656:rom_y<=15'd8765; +10'd657:rom_y<=15'd8744; +10'd658:rom_y<=15'd8723; +10'd659:rom_y<=15'd8702; +10'd660:rom_y<=15'd8680; +10'd661:rom_y<=15'd8659; +10'd662:rom_y<=15'd8638; +10'd663:rom_y<=15'd8616; +10'd664:rom_y<=15'd8595; +10'd665:rom_y<=15'd8573; +10'd666:rom_y<=15'd8552; +10'd667:rom_y<=15'd8531; +10'd668:rom_y<=15'd8509; +10'd669:rom_y<=15'd8488; +10'd670:rom_y<=15'd8466; +10'd671:rom_y<=15'd8445; +10'd672:rom_y<=15'd8423; +10'd673:rom_y<=15'd8401; +10'd674:rom_y<=15'd8380; +10'd675:rom_y<=15'd8358; +10'd676:rom_y<=15'd8337; +10'd677:rom_y<=15'd8315; +10'd678:rom_y<=15'd8293; +10'd679:rom_y<=15'd8272; +10'd680:rom_y<=15'd8250; +10'd681:rom_y<=15'd8228; +10'd682:rom_y<=15'd8207; +10'd683:rom_y<=15'd8185; +10'd684:rom_y<=15'd8163; +10'd685:rom_y<=15'd8141; +10'd686:rom_y<=15'd8119; +10'd687:rom_y<=15'd8098; +10'd688:rom_y<=15'd8076; +10'd689:rom_y<=15'd8054; +10'd690:rom_y<=15'd8032; +10'd691:rom_y<=15'd8010; +10'd692:rom_y<=15'd7988; +10'd693:rom_y<=15'd7966; +10'd694:rom_y<=15'd7944; +10'd695:rom_y<=15'd7922; +10'd696:rom_y<=15'd7900; +10'd697:rom_y<=15'd7878; +10'd698:rom_y<=15'd7856; +10'd699:rom_y<=15'd7834; +10'd700:rom_y<=15'd7812; +10'd701:rom_y<=15'd7790; +10'd702:rom_y<=15'd7768; +10'd703:rom_y<=15'd7746; +10'd704:rom_y<=15'd7723; +10'd705:rom_y<=15'd7701; +10'd706:rom_y<=15'd7679; +10'd707:rom_y<=15'd7657; +10'd708:rom_y<=15'd7635; +10'd709:rom_y<=15'd7612; +10'd710:rom_y<=15'd7590; +10'd711:rom_y<=15'd7568; +10'd712:rom_y<=15'd7545; +10'd713:rom_y<=15'd7523; +10'd714:rom_y<=15'd7501; +10'd715:rom_y<=15'd7478; +10'd716:rom_y<=15'd7456; +10'd717:rom_y<=15'd7434; +10'd718:rom_y<=15'd7411; +10'd719:rom_y<=15'd7389; +10'd720:rom_y<=15'd7366; +10'd721:rom_y<=15'd7344; +10'd722:rom_y<=15'd7321; +10'd723:rom_y<=15'd7299; +10'd724:rom_y<=15'd7276; +10'd725:rom_y<=15'd7254; +10'd726:rom_y<=15'd7231; +10'd727:rom_y<=15'd7209; +10'd728:rom_y<=15'd7186; +10'd729:rom_y<=15'd7164; +10'd730:rom_y<=15'd7141; +10'd731:rom_y<=15'd7118; +10'd732:rom_y<=15'd7096; +10'd733:rom_y<=15'd7073; +10'd734:rom_y<=15'd7050; +10'd735:rom_y<=15'd7028; +10'd736:rom_y<=15'd7005; +10'd737:rom_y<=15'd6982; +10'd738:rom_y<=15'd6960; +10'd739:rom_y<=15'd6937; +10'd740:rom_y<=15'd6914; +10'd741:rom_y<=15'd6891; +10'd742:rom_y<=15'd6868; +10'd743:rom_y<=15'd6846; +10'd744:rom_y<=15'd6823; +10'd745:rom_y<=15'd6800; +10'd746:rom_y<=15'd6777; +10'd747:rom_y<=15'd6754; +10'd748:rom_y<=15'd6731; +10'd749:rom_y<=15'd6708; +10'd750:rom_y<=15'd6685; +10'd751:rom_y<=15'd6662; +10'd752:rom_y<=15'd6639; +10'd753:rom_y<=15'd6616; +10'd754:rom_y<=15'd6593; +10'd755:rom_y<=15'd6570; +10'd756:rom_y<=15'd6547; +10'd757:rom_y<=15'd6524; +10'd758:rom_y<=15'd6501; +10'd759:rom_y<=15'd6478; +10'd760:rom_y<=15'd6455; +10'd761:rom_y<=15'd6432; +10'd762:rom_y<=15'd6409; +10'd763:rom_y<=15'd6386; +10'd764:rom_y<=15'd6363; +10'd765:rom_y<=15'd6339; +10'd766:rom_y<=15'd6316; +10'd767:rom_y<=15'd6293; +10'd768:rom_y<=15'd6270; +10'd769:rom_y<=15'd6247; +10'd770:rom_y<=15'd6223; +10'd771:rom_y<=15'd6200; +10'd772:rom_y<=15'd6177; +10'd773:rom_y<=15'd6154; +10'd774:rom_y<=15'd6130; +10'd775:rom_y<=15'd6107; +10'd776:rom_y<=15'd6084; +10'd777:rom_y<=15'd6060; +10'd778:rom_y<=15'd6037; +10'd779:rom_y<=15'd6014; +10'd780:rom_y<=15'd5990; +10'd781:rom_y<=15'd5967; +10'd782:rom_y<=15'd5943; +10'd783:rom_y<=15'd5920; +10'd784:rom_y<=15'd5897; +10'd785:rom_y<=15'd5873; +10'd786:rom_y<=15'd5850; +10'd787:rom_y<=15'd5826; +10'd788:rom_y<=15'd5803; +10'd789:rom_y<=15'd5779; +10'd790:rom_y<=15'd5756; +10'd791:rom_y<=15'd5732; +10'd792:rom_y<=15'd5708; +10'd793:rom_y<=15'd5685; +10'd794:rom_y<=15'd5661; +10'd795:rom_y<=15'd5638; +10'd796:rom_y<=15'd5614; +10'd797:rom_y<=15'd5591; +10'd798:rom_y<=15'd5567; +10'd799:rom_y<=15'd5543; +10'd800:rom_y<=15'd5520; +10'd801:rom_y<=15'd5496; +10'd802:rom_y<=15'd5472; +10'd803:rom_y<=15'd5449; +10'd804:rom_y<=15'd5425; +10'd805:rom_y<=15'd5401; +10'd806:rom_y<=15'd5377; +10'd807:rom_y<=15'd5354; +10'd808:rom_y<=15'd5330; +10'd809:rom_y<=15'd5306; +10'd810:rom_y<=15'd5282; +10'd811:rom_y<=15'd5259; +10'd812:rom_y<=15'd5235; +10'd813:rom_y<=15'd5211; +10'd814:rom_y<=15'd5187; +10'd815:rom_y<=15'd5163; +10'd816:rom_y<=15'd5139; +10'd817:rom_y<=15'd5115; +10'd818:rom_y<=15'd5092; +10'd819:rom_y<=15'd5068; +10'd820:rom_y<=15'd5044; +10'd821:rom_y<=15'd5020; +10'd822:rom_y<=15'd4996; +10'd823:rom_y<=15'd4972; +10'd824:rom_y<=15'd4948; +10'd825:rom_y<=15'd4924; +10'd826:rom_y<=15'd4900; +10'd827:rom_y<=15'd4876; +10'd828:rom_y<=15'd4852; +10'd829:rom_y<=15'd4828; +10'd830:rom_y<=15'd4804; +10'd831:rom_y<=15'd4780; +10'd832:rom_y<=15'd4756; +10'd833:rom_y<=15'd4732; +10'd834:rom_y<=15'd4708; +10'd835:rom_y<=15'd4684; +10'd836:rom_y<=15'd4660; +10'd837:rom_y<=15'd4636; +10'd838:rom_y<=15'd4612; +10'd839:rom_y<=15'd4587; +10'd840:rom_y<=15'd4563; +10'd841:rom_y<=15'd4539; +10'd842:rom_y<=15'd4515; +10'd843:rom_y<=15'd4491; +10'd844:rom_y<=15'd4467; +10'd845:rom_y<=15'd4442; +10'd846:rom_y<=15'd4418; +10'd847:rom_y<=15'd4394; +10'd848:rom_y<=15'd4370; +10'd849:rom_y<=15'd4346; +10'd850:rom_y<=15'd4321; +10'd851:rom_y<=15'd4297; +10'd852:rom_y<=15'd4273; +10'd853:rom_y<=15'd4249; +10'd854:rom_y<=15'd4224; +10'd855:rom_y<=15'd4200; +10'd856:rom_y<=15'd4176; +10'd857:rom_y<=15'd4151; +10'd858:rom_y<=15'd4127; +10'd859:rom_y<=15'd4103; +10'd860:rom_y<=15'd4078; +10'd861:rom_y<=15'd4054; +10'd862:rom_y<=15'd4030; +10'd863:rom_y<=15'd4005; +10'd864:rom_y<=15'd3981; +10'd865:rom_y<=15'd3957; +10'd866:rom_y<=15'd3932; +10'd867:rom_y<=15'd3908; +10'd868:rom_y<=15'd3883; +10'd869:rom_y<=15'd3859; +10'd870:rom_y<=15'd3835; +10'd871:rom_y<=15'd3810; +10'd872:rom_y<=15'd3786; +10'd873:rom_y<=15'd3761; +10'd874:rom_y<=15'd3737; +10'd875:rom_y<=15'd3712; +10'd876:rom_y<=15'd3688; +10'd877:rom_y<=15'd3663; +10'd878:rom_y<=15'd3639; +10'd879:rom_y<=15'd3614; +10'd880:rom_y<=15'd3590; +10'd881:rom_y<=15'd3565; +10'd882:rom_y<=15'd3541; +10'd883:rom_y<=15'd3516; +10'd884:rom_y<=15'd3492; +10'd885:rom_y<=15'd3467; +10'd886:rom_y<=15'd3442; +10'd887:rom_y<=15'd3418; +10'd888:rom_y<=15'd3393; +10'd889:rom_y<=15'd3369; +10'd890:rom_y<=15'd3344; +10'd891:rom_y<=15'd3320; +10'd892:rom_y<=15'd3295; +10'd893:rom_y<=15'd3270; +10'd894:rom_y<=15'd3246; +10'd895:rom_y<=15'd3221; +10'd896:rom_y<=15'd3196; +10'd897:rom_y<=15'd3172; +10'd898:rom_y<=15'd3147; +10'd899:rom_y<=15'd3122; +10'd900:rom_y<=15'd3098; +10'd901:rom_y<=15'd3073; +10'd902:rom_y<=15'd3048; +10'd903:rom_y<=15'd3024; +10'd904:rom_y<=15'd2999; +10'd905:rom_y<=15'd2974; +10'd906:rom_y<=15'd2949; +10'd907:rom_y<=15'd2925; +10'd908:rom_y<=15'd2900; +10'd909:rom_y<=15'd2875; +10'd910:rom_y<=15'd2851; +10'd911:rom_y<=15'd2826; +10'd912:rom_y<=15'd2801; +10'd913:rom_y<=15'd2776; +10'd914:rom_y<=15'd2752; +10'd915:rom_y<=15'd2727; +10'd916:rom_y<=15'd2702; +10'd917:rom_y<=15'd2677; +10'd918:rom_y<=15'd2652; +10'd919:rom_y<=15'd2628; +10'd920:rom_y<=15'd2603; +10'd921:rom_y<=15'd2578; +10'd922:rom_y<=15'd2553; +10'd923:rom_y<=15'd2528; +10'd924:rom_y<=15'd2503; +10'd925:rom_y<=15'd2479; +10'd926:rom_y<=15'd2454; +10'd927:rom_y<=15'd2429; +10'd928:rom_y<=15'd2404; +10'd929:rom_y<=15'd2379; +10'd930:rom_y<=15'd2354; +10'd931:rom_y<=15'd2329; +10'd932:rom_y<=15'd2305; +10'd933:rom_y<=15'd2280; +10'd934:rom_y<=15'd2255; +10'd935:rom_y<=15'd2230; +10'd936:rom_y<=15'd2205; +10'd937:rom_y<=15'd2180; +10'd938:rom_y<=15'd2155; +10'd939:rom_y<=15'd2130; +10'd940:rom_y<=15'd2105; +10'd941:rom_y<=15'd2080; +10'd942:rom_y<=15'd2055; +10'd943:rom_y<=15'd2031; +10'd944:rom_y<=15'd2006; +10'd945:rom_y<=15'd1981; +10'd946:rom_y<=15'd1956; +10'd947:rom_y<=15'd1931; +10'd948:rom_y<=15'd1906; +10'd949:rom_y<=15'd1881; +10'd950:rom_y<=15'd1856; +10'd951:rom_y<=15'd1831; +10'd952:rom_y<=15'd1806; +10'd953:rom_y<=15'd1781; +10'd954:rom_y<=15'd1756; +10'd955:rom_y<=15'd1731; +10'd956:rom_y<=15'd1706; +10'd957:rom_y<=15'd1681; +10'd958:rom_y<=15'd1656; +10'd959:rom_y<=15'd1631; +10'd960:rom_y<=15'd1606; +10'd961:rom_y<=15'd1581; +10'd962:rom_y<=15'd1556; +10'd963:rom_y<=15'd1531; +10'd964:rom_y<=15'd1506; +10'd965:rom_y<=15'd1481; +10'd966:rom_y<=15'd1456; +10'd967:rom_y<=15'd1431; +10'd968:rom_y<=15'd1406; +10'd969:rom_y<=15'd1381; +10'd970:rom_y<=15'd1356; +10'd971:rom_y<=15'd1331; +10'd972:rom_y<=15'd1306; +10'd973:rom_y<=15'd1280; +10'd974:rom_y<=15'd1255; +10'd975:rom_y<=15'd1230; +10'd976:rom_y<=15'd1205; +10'd977:rom_y<=15'd1180; +10'd978:rom_y<=15'd1155; +10'd979:rom_y<=15'd1130; +10'd980:rom_y<=15'd1105; +10'd981:rom_y<=15'd1080; +10'd982:rom_y<=15'd1055; +10'd983:rom_y<=15'd1030; +10'd984:rom_y<=15'd1005; +10'd985:rom_y<=15'd980; +10'd986:rom_y<=15'd955; +10'd987:rom_y<=15'd929; +10'd988:rom_y<=15'd904; +10'd989:rom_y<=15'd879; +10'd990:rom_y<=15'd854; +10'd991:rom_y<=15'd829; +10'd992:rom_y<=15'd804; +10'd993:rom_y<=15'd779; +10'd994:rom_y<=15'd754; +10'd995:rom_y<=15'd729; +10'd996:rom_y<=15'd704; +10'd997:rom_y<=15'd678; +10'd998:rom_y<=15'd653; +10'd999:rom_y<=15'd628; +10'd1000:rom_y<=15'd603; +10'd1001:rom_y<=15'd578; +10'd1002:rom_y<=15'd553; +10'd1003:rom_y<=15'd528; +10'd1004:rom_y<=15'd503; +10'd1005:rom_y<=15'd477; +10'd1006:rom_y<=15'd452; +10'd1007:rom_y<=15'd427; +10'd1008:rom_y<=15'd402; +10'd1009:rom_y<=15'd377; +10'd1010:rom_y<=15'd352; +10'd1011:rom_y<=15'd327; +10'd1012:rom_y<=15'd302; +10'd1013:rom_y<=15'd276; +10'd1014:rom_y<=15'd251; +10'd1015:rom_y<=15'd226; +10'd1016:rom_y<=15'd201; +10'd1017:rom_y<=15'd176; +10'd1018:rom_y<=15'd151; +10'd1019:rom_y<=15'd126; +10'd1020:rom_y<=15'd101; +10'd1021:rom_y<=15'd75; +10'd1022:rom_y<=15'd50; +10'd1023:rom_y<=15'd25; +endcase +endmodule diff --git a/RTL/foc/svpwm.sv b/RTL/foc/svpwm.sv new file mode 100644 index 0000000..bc0ff6a --- /dev/null +++ b/RTL/foc/svpwm.sv @@ -0,0 +1,1174 @@ +`timescale 1 ns/1 ns + +// 模块:svpwm +// 功能:7 段式 SVPWM 生成器(调制器) +// 输入:定子极坐标系下的电压矢量 Vsρ, Vsθ +// 输出:PWM使能信号 pwm_en +// 3相PWM信号 pwm_a, pwm_b, pwm_c +// 说明:该模块产生的 PWM 的频率是 clk 频率 / 2048。例如 clk 为 36.864MHz ,则 PWM 的频率为 36.864MHz / 2048 = 18kHz +module svpwm ( + input wire rstn, + input wire clk, + input wire [ 8:0] v_amp, // svpwm 的最大电压矢量的幅值 + input wire [11:0] v_rho, // 定子极坐标系下的电压矢量的幅值 Vsρ + input wire [11:0] v_theta, // 定子极坐标系下的电压矢量的角度 Vsθ + output reg pwm_en, pwm_a, pwm_b, pwm_c // PWM使能信号 pwm_en, 3相PWM信号 pwm_a, pwm_b, pwm_c +); + +localparam ROM_LATENCY = 11'd4; + +reg [10:0] cnt; +reg [11:0] rom_x; +reg rom_sy; +reg [ 8:0] rom_y; +reg [ 8:0] mul_i1; +reg [11:0] mul_i2; +wire [20:0] mul_y = mul_i1 * mul_i2; +reg [11:0] mul_o; +reg sya, syb, syc; +reg [ 8:0] ya, yb; +reg [ 9:0] pwma_duty, pwmb_duty, pwmc_duty; +reg [10:0] pwma_lb, pwma_ub, pwmb_lb, pwmb_ub, pwmc_lb, pwmc_ub; +reg pwm_act; + +always @ (posedge clk or negedge rstn) + if(~rstn) + mul_o <= '0; + else + mul_o <= mul_y[20:9]; + +always @ (posedge clk or negedge rstn) + if(~rstn) + cnt <= '0; + else + cnt <= cnt + 11'd1; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + rom_x <= '0; + mul_i1 <= '0; + mul_i2 <= '0; + {sya, syb, syc} <= '0; + {ya, yb} <= '0; + {pwma_duty, pwmb_duty, pwmc_duty} <= '0; + {pwma_lb, pwma_ub, pwmb_lb, pwmb_ub, pwmc_lb, pwmc_ub} <= '0; + pwm_act <= 1'b0; + end else begin + if(cnt==11'd2041-ROM_LATENCY) begin + rom_x <= v_theta; + mul_i1 <= v_amp; + mul_i2 <= v_rho; + end else if(cnt==11'd2042-ROM_LATENCY) begin + rom_x <= rom_x - 12'd1365; + end else if(cnt==11'd2043-ROM_LATENCY) begin + rom_x <= rom_x - 12'd1365; + mul_i2 <= mul_o + 12'd8; + end else if(cnt==11'd2042) begin + mul_i1 <= rom_y; + sya <= rom_sy; + end else if(cnt==11'd2043) begin + mul_i1 <= rom_y; + syb <= rom_sy; + end else if(cnt==11'd2044) begin + mul_i1 <= rom_y; + syc <= rom_sy; + ya <= mul_o[11:3]; + end else if(cnt==11'd2045) begin + yb <= mul_o[11:3]; + end else if(cnt==11'd2046) begin + pwma_duty <= sya ? 10'd512-{1'b0, ya } : 10'd512+{1'b0, ya }; + pwmb_duty <= syb ? 10'd512-{1'b0, yb } : 10'd512+{1'b0, yb }; + pwmc_duty <= syc ? 10'd512-{1'b0,mul_o[11:3]} : 10'd512+{1'b0,mul_o[11:3]}; + end else if(cnt==11'd2047) begin + pwma_lb <= 11'd0 + {1'b0, pwma_duty}; + pwma_ub <= 11'd0 - {1'b0, pwma_duty}; + pwmb_lb <= 11'd0 + {1'b0, pwmb_duty}; + pwmb_ub <= 11'd0 - {1'b0, pwmb_duty}; + pwmc_lb <= 11'd0 + {1'b0, pwmc_duty}; + pwmc_ub <= 11'd0 - {1'b0, pwmc_duty}; + pwm_act <= 1'b1; + end + end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + pwm_en <= 1'b0; + pwm_a <= 1'b1; + pwm_b <= 1'b1; + pwm_c <= 1'b1; + end else begin + pwm_en <= pwm_act; + pwm_a <= ~pwm_act || cnt<=pwma_lb || cnt>pwma_ub; + pwm_b <= ~pwm_act || cnt<=pwmb_lb || cnt>pwmb_ub; + pwm_c <= ~pwm_act || cnt<=pwmc_lb || cnt>pwmc_ub; + end + + + +reg [11:0] x1; +reg [ 9:0] x2; +reg s2; +reg z2; +reg [ 8:0] y3; +reg s3; +reg z3; + +always @ (posedge clk) + if(rom_x >= 12'd2048) + x1 <= 12'd0 - rom_x; + else + x1 <= rom_x; + +always @ (posedge clk) begin + z2 <= x1 == 12'd1024; + if( x1 <= 12'd1024 ) begin + x2 <= x1[9:0]; + s2 <= 1'b0; + end else begin + x2 <= 10'd0 - x1[9:0]; + s2 <= 1'b1; + end +end + +always @ (posedge clk) begin + z3 <= z2; + s3 <= s2; +end + +always @ (posedge clk) begin + rom_sy <= s3; + if(z3) + rom_y <= 9'd0; + else + rom_y <= y3; +end + +always @ (posedge clk) +case(x2) +10'd0:y3<=9'd442; +10'd1:y3<=9'd443; +10'd2:y3<=9'd443; +10'd3:y3<=9'd444; +10'd4:y3<=9'd444; +10'd5:y3<=9'd444; +10'd6:y3<=9'd445; +10'd7:y3<=9'd445; +10'd8:y3<=9'd446; +10'd9:y3<=9'd446; +10'd10:y3<=9'd446; +10'd11:y3<=9'd447; +10'd12:y3<=9'd447; +10'd13:y3<=9'd447; +10'd14:y3<=9'd448; +10'd15:y3<=9'd448; +10'd16:y3<=9'd449; +10'd17:y3<=9'd449; +10'd18:y3<=9'd449; +10'd19:y3<=9'd450; +10'd20:y3<=9'd450; +10'd21:y3<=9'd450; +10'd22:y3<=9'd451; +10'd23:y3<=9'd451; +10'd24:y3<=9'd452; +10'd25:y3<=9'd452; +10'd26:y3<=9'd452; +10'd27:y3<=9'd453; +10'd28:y3<=9'd453; +10'd29:y3<=9'd453; +10'd30:y3<=9'd454; +10'd31:y3<=9'd454; +10'd32:y3<=9'd454; +10'd33:y3<=9'd455; +10'd34:y3<=9'd455; +10'd35:y3<=9'd456; +10'd36:y3<=9'd456; +10'd37:y3<=9'd456; +10'd38:y3<=9'd457; +10'd39:y3<=9'd457; +10'd40:y3<=9'd457; +10'd41:y3<=9'd458; +10'd42:y3<=9'd458; +10'd43:y3<=9'd458; +10'd44:y3<=9'd459; +10'd45:y3<=9'd459; +10'd46:y3<=9'd459; +10'd47:y3<=9'd460; +10'd48:y3<=9'd460; +10'd49:y3<=9'd460; +10'd50:y3<=9'd461; +10'd51:y3<=9'd461; +10'd52:y3<=9'd461; +10'd53:y3<=9'd462; +10'd54:y3<=9'd462; +10'd55:y3<=9'd462; +10'd56:y3<=9'd463; +10'd57:y3<=9'd463; +10'd58:y3<=9'd463; +10'd59:y3<=9'd464; +10'd60:y3<=9'd464; +10'd61:y3<=9'd464; +10'd62:y3<=9'd465; +10'd63:y3<=9'd465; +10'd64:y3<=9'd465; +10'd65:y3<=9'd466; +10'd66:y3<=9'd466; +10'd67:y3<=9'd466; +10'd68:y3<=9'd467; +10'd69:y3<=9'd467; +10'd70:y3<=9'd467; +10'd71:y3<=9'd468; +10'd72:y3<=9'd468; +10'd73:y3<=9'd468; +10'd74:y3<=9'd469; +10'd75:y3<=9'd469; +10'd76:y3<=9'd469; +10'd77:y3<=9'd470; +10'd78:y3<=9'd470; +10'd79:y3<=9'd470; +10'd80:y3<=9'd470; +10'd81:y3<=9'd471; +10'd82:y3<=9'd471; +10'd83:y3<=9'd471; +10'd84:y3<=9'd472; +10'd85:y3<=9'd472; +10'd86:y3<=9'd472; +10'd87:y3<=9'd473; +10'd88:y3<=9'd473; +10'd89:y3<=9'd473; +10'd90:y3<=9'd473; +10'd91:y3<=9'd474; +10'd92:y3<=9'd474; +10'd93:y3<=9'd474; +10'd94:y3<=9'd475; +10'd95:y3<=9'd475; +10'd96:y3<=9'd475; +10'd97:y3<=9'd475; +10'd98:y3<=9'd476; +10'd99:y3<=9'd476; +10'd100:y3<=9'd476; +10'd101:y3<=9'd477; +10'd102:y3<=9'd477; +10'd103:y3<=9'd477; +10'd104:y3<=9'd477; +10'd105:y3<=9'd478; +10'd106:y3<=9'd478; +10'd107:y3<=9'd478; +10'd108:y3<=9'd479; +10'd109:y3<=9'd479; +10'd110:y3<=9'd479; +10'd111:y3<=9'd479; +10'd112:y3<=9'd480; +10'd113:y3<=9'd480; +10'd114:y3<=9'd480; +10'd115:y3<=9'd480; +10'd116:y3<=9'd481; +10'd117:y3<=9'd481; +10'd118:y3<=9'd481; +10'd119:y3<=9'd482; +10'd120:y3<=9'd482; +10'd121:y3<=9'd482; +10'd122:y3<=9'd482; +10'd123:y3<=9'd483; +10'd124:y3<=9'd483; +10'd125:y3<=9'd483; +10'd126:y3<=9'd483; +10'd127:y3<=9'd484; +10'd128:y3<=9'd484; +10'd129:y3<=9'd484; +10'd130:y3<=9'd484; +10'd131:y3<=9'd485; +10'd132:y3<=9'd485; +10'd133:y3<=9'd485; +10'd134:y3<=9'd485; +10'd135:y3<=9'd486; +10'd136:y3<=9'd486; +10'd137:y3<=9'd486; +10'd138:y3<=9'd486; +10'd139:y3<=9'd487; +10'd140:y3<=9'd487; +10'd141:y3<=9'd487; +10'd142:y3<=9'd487; +10'd143:y3<=9'd488; +10'd144:y3<=9'd488; +10'd145:y3<=9'd488; +10'd146:y3<=9'd488; +10'd147:y3<=9'd488; +10'd148:y3<=9'd489; +10'd149:y3<=9'd489; +10'd150:y3<=9'd489; +10'd151:y3<=9'd489; +10'd152:y3<=9'd490; +10'd153:y3<=9'd490; +10'd154:y3<=9'd490; +10'd155:y3<=9'd490; +10'd156:y3<=9'd490; +10'd157:y3<=9'd491; +10'd158:y3<=9'd491; +10'd159:y3<=9'd491; +10'd160:y3<=9'd491; +10'd161:y3<=9'd492; +10'd162:y3<=9'd492; +10'd163:y3<=9'd492; +10'd164:y3<=9'd492; +10'd165:y3<=9'd492; +10'd166:y3<=9'd493; +10'd167:y3<=9'd493; +10'd168:y3<=9'd493; +10'd169:y3<=9'd493; +10'd170:y3<=9'd493; +10'd171:y3<=9'd494; +10'd172:y3<=9'd494; +10'd173:y3<=9'd494; +10'd174:y3<=9'd494; +10'd175:y3<=9'd494; +10'd176:y3<=9'd495; +10'd177:y3<=9'd495; +10'd178:y3<=9'd495; +10'd179:y3<=9'd495; +10'd180:y3<=9'd495; +10'd181:y3<=9'd496; +10'd182:y3<=9'd496; +10'd183:y3<=9'd496; +10'd184:y3<=9'd496; +10'd185:y3<=9'd496; +10'd186:y3<=9'd497; +10'd187:y3<=9'd497; +10'd188:y3<=9'd497; +10'd189:y3<=9'd497; +10'd190:y3<=9'd497; +10'd191:y3<=9'd497; +10'd192:y3<=9'd498; +10'd193:y3<=9'd498; +10'd194:y3<=9'd498; +10'd195:y3<=9'd498; +10'd196:y3<=9'd498; +10'd197:y3<=9'd499; +10'd198:y3<=9'd499; +10'd199:y3<=9'd499; +10'd200:y3<=9'd499; +10'd201:y3<=9'd499; +10'd202:y3<=9'd499; +10'd203:y3<=9'd500; +10'd204:y3<=9'd500; +10'd205:y3<=9'd500; +10'd206:y3<=9'd500; +10'd207:y3<=9'd500; +10'd208:y3<=9'd500; +10'd209:y3<=9'd500; +10'd210:y3<=9'd501; +10'd211:y3<=9'd501; +10'd212:y3<=9'd501; +10'd213:y3<=9'd501; +10'd214:y3<=9'd501; +10'd215:y3<=9'd501; +10'd216:y3<=9'd502; +10'd217:y3<=9'd502; +10'd218:y3<=9'd502; +10'd219:y3<=9'd502; +10'd220:y3<=9'd502; +10'd221:y3<=9'd502; +10'd222:y3<=9'd502; +10'd223:y3<=9'd503; +10'd224:y3<=9'd503; +10'd225:y3<=9'd503; +10'd226:y3<=9'd503; +10'd227:y3<=9'd503; +10'd228:y3<=9'd503; +10'd229:y3<=9'd503; +10'd230:y3<=9'd504; +10'd231:y3<=9'd504; +10'd232:y3<=9'd504; +10'd233:y3<=9'd504; +10'd234:y3<=9'd504; +10'd235:y3<=9'd504; +10'd236:y3<=9'd504; +10'd237:y3<=9'd504; +10'd238:y3<=9'd505; +10'd239:y3<=9'd505; +10'd240:y3<=9'd505; +10'd241:y3<=9'd505; +10'd242:y3<=9'd505; +10'd243:y3<=9'd505; +10'd244:y3<=9'd505; +10'd245:y3<=9'd505; +10'd246:y3<=9'd506; +10'd247:y3<=9'd506; +10'd248:y3<=9'd506; +10'd249:y3<=9'd506; +10'd250:y3<=9'd506; +10'd251:y3<=9'd506; +10'd252:y3<=9'd506; +10'd253:y3<=9'd506; +10'd254:y3<=9'd506; +10'd255:y3<=9'd507; +10'd256:y3<=9'd507; +10'd257:y3<=9'd507; +10'd258:y3<=9'd507; +10'd259:y3<=9'd507; +10'd260:y3<=9'd507; +10'd261:y3<=9'd507; +10'd262:y3<=9'd507; +10'd263:y3<=9'd507; +10'd264:y3<=9'd507; +10'd265:y3<=9'd507; +10'd266:y3<=9'd508; +10'd267:y3<=9'd508; +10'd268:y3<=9'd508; +10'd269:y3<=9'd508; +10'd270:y3<=9'd508; +10'd271:y3<=9'd508; +10'd272:y3<=9'd508; +10'd273:y3<=9'd508; +10'd274:y3<=9'd508; +10'd275:y3<=9'd508; +10'd276:y3<=9'd508; +10'd277:y3<=9'd509; +10'd278:y3<=9'd509; +10'd279:y3<=9'd509; +10'd280:y3<=9'd509; +10'd281:y3<=9'd509; +10'd282:y3<=9'd509; +10'd283:y3<=9'd509; +10'd284:y3<=9'd509; +10'd285:y3<=9'd509; +10'd286:y3<=9'd509; +10'd287:y3<=9'd509; +10'd288:y3<=9'd509; +10'd289:y3<=9'd509; +10'd290:y3<=9'd509; +10'd291:y3<=9'd509; +10'd292:y3<=9'd510; +10'd293:y3<=9'd510; +10'd294:y3<=9'd510; +10'd295:y3<=9'd510; +10'd296:y3<=9'd510; +10'd297:y3<=9'd510; +10'd298:y3<=9'd510; +10'd299:y3<=9'd510; +10'd300:y3<=9'd510; +10'd301:y3<=9'd510; +10'd302:y3<=9'd510; +10'd303:y3<=9'd510; +10'd304:y3<=9'd510; +10'd305:y3<=9'd510; +10'd306:y3<=9'd510; +10'd307:y3<=9'd510; +10'd308:y3<=9'd510; +10'd309:y3<=9'd510; +10'd310:y3<=9'd510; +10'd311:y3<=9'd510; +10'd312:y3<=9'd510; +10'd313:y3<=9'd511; +10'd314:y3<=9'd511; +10'd315:y3<=9'd511; +10'd316:y3<=9'd511; +10'd317:y3<=9'd511; +10'd318:y3<=9'd511; +10'd319:y3<=9'd511; +10'd320:y3<=9'd511; +10'd321:y3<=9'd511; +10'd322:y3<=9'd511; +10'd323:y3<=9'd511; +10'd324:y3<=9'd511; +10'd325:y3<=9'd511; +10'd326:y3<=9'd511; +10'd327:y3<=9'd511; +10'd328:y3<=9'd511; +10'd329:y3<=9'd511; +10'd330:y3<=9'd511; +10'd331:y3<=9'd511; +10'd332:y3<=9'd511; +10'd333:y3<=9'd511; +10'd334:y3<=9'd511; +10'd335:y3<=9'd511; +10'd336:y3<=9'd511; +10'd337:y3<=9'd511; +10'd338:y3<=9'd511; +10'd339:y3<=9'd511; +10'd340:y3<=9'd511; +10'd341:y3<=9'd511; +10'd342:y3<=9'd511; +10'd343:y3<=9'd511; +10'd344:y3<=9'd511; +10'd345:y3<=9'd511; +10'd346:y3<=9'd511; +10'd347:y3<=9'd511; +10'd348:y3<=9'd511; +10'd349:y3<=9'd511; +10'd350:y3<=9'd511; +10'd351:y3<=9'd511; +10'd352:y3<=9'd511; +10'd353:y3<=9'd511; +10'd354:y3<=9'd511; +10'd355:y3<=9'd511; +10'd356:y3<=9'd511; +10'd357:y3<=9'd511; +10'd358:y3<=9'd511; +10'd359:y3<=9'd511; +10'd360:y3<=9'd511; +10'd361:y3<=9'd511; +10'd362:y3<=9'd511; +10'd363:y3<=9'd511; +10'd364:y3<=9'd511; +10'd365:y3<=9'd511; +10'd366:y3<=9'd511; +10'd367:y3<=9'd511; +10'd368:y3<=9'd511; +10'd369:y3<=9'd511; +10'd370:y3<=9'd511; +10'd371:y3<=9'd510; +10'd372:y3<=9'd510; +10'd373:y3<=9'd510; +10'd374:y3<=9'd510; +10'd375:y3<=9'd510; +10'd376:y3<=9'd510; +10'd377:y3<=9'd510; +10'd378:y3<=9'd510; +10'd379:y3<=9'd510; +10'd380:y3<=9'd510; +10'd381:y3<=9'd510; +10'd382:y3<=9'd510; +10'd383:y3<=9'd510; +10'd384:y3<=9'd510; +10'd385:y3<=9'd510; +10'd386:y3<=9'd510; +10'd387:y3<=9'd510; +10'd388:y3<=9'd510; +10'd389:y3<=9'd510; +10'd390:y3<=9'd510; +10'd391:y3<=9'd510; +10'd392:y3<=9'd509; +10'd393:y3<=9'd509; +10'd394:y3<=9'd509; +10'd395:y3<=9'd509; +10'd396:y3<=9'd509; +10'd397:y3<=9'd509; +10'd398:y3<=9'd509; +10'd399:y3<=9'd509; +10'd400:y3<=9'd509; +10'd401:y3<=9'd509; +10'd402:y3<=9'd509; +10'd403:y3<=9'd509; +10'd404:y3<=9'd509; +10'd405:y3<=9'd509; +10'd406:y3<=9'd508; +10'd407:y3<=9'd508; +10'd408:y3<=9'd508; +10'd409:y3<=9'd508; +10'd410:y3<=9'd508; +10'd411:y3<=9'd508; +10'd412:y3<=9'd508; +10'd413:y3<=9'd508; +10'd414:y3<=9'd508; +10'd415:y3<=9'd508; +10'd416:y3<=9'd508; +10'd417:y3<=9'd508; +10'd418:y3<=9'd507; +10'd419:y3<=9'd507; +10'd420:y3<=9'd507; +10'd421:y3<=9'd507; +10'd422:y3<=9'd507; +10'd423:y3<=9'd507; +10'd424:y3<=9'd507; +10'd425:y3<=9'd507; +10'd426:y3<=9'd507; +10'd427:y3<=9'd507; +10'd428:y3<=9'd506; +10'd429:y3<=9'd506; +10'd430:y3<=9'd506; +10'd431:y3<=9'd506; +10'd432:y3<=9'd506; +10'd433:y3<=9'd506; +10'd434:y3<=9'd506; +10'd435:y3<=9'd506; +10'd436:y3<=9'd506; +10'd437:y3<=9'd506; +10'd438:y3<=9'd505; +10'd439:y3<=9'd505; +10'd440:y3<=9'd505; +10'd441:y3<=9'd505; +10'd442:y3<=9'd505; +10'd443:y3<=9'd505; +10'd444:y3<=9'd505; +10'd445:y3<=9'd505; +10'd446:y3<=9'd504; +10'd447:y3<=9'd504; +10'd448:y3<=9'd504; +10'd449:y3<=9'd504; +10'd450:y3<=9'd504; +10'd451:y3<=9'd504; +10'd452:y3<=9'd504; +10'd453:y3<=9'd504; +10'd454:y3<=9'd503; +10'd455:y3<=9'd503; +10'd456:y3<=9'd503; +10'd457:y3<=9'd503; +10'd458:y3<=9'd503; +10'd459:y3<=9'd503; +10'd460:y3<=9'd503; +10'd461:y3<=9'd502; +10'd462:y3<=9'd502; +10'd463:y3<=9'd502; +10'd464:y3<=9'd502; +10'd465:y3<=9'd502; +10'd466:y3<=9'd502; +10'd467:y3<=9'd502; +10'd468:y3<=9'd501; +10'd469:y3<=9'd501; +10'd470:y3<=9'd501; +10'd471:y3<=9'd501; +10'd472:y3<=9'd501; +10'd473:y3<=9'd501; +10'd474:y3<=9'd500; +10'd475:y3<=9'd500; +10'd476:y3<=9'd500; +10'd477:y3<=9'd500; +10'd478:y3<=9'd500; +10'd479:y3<=9'd500; +10'd480:y3<=9'd499; +10'd481:y3<=9'd499; +10'd482:y3<=9'd499; +10'd483:y3<=9'd499; +10'd484:y3<=9'd499; +10'd485:y3<=9'd499; +10'd486:y3<=9'd498; +10'd487:y3<=9'd498; +10'd488:y3<=9'd498; +10'd489:y3<=9'd498; +10'd490:y3<=9'd498; +10'd491:y3<=9'd498; +10'd492:y3<=9'd497; +10'd493:y3<=9'd497; +10'd494:y3<=9'd497; +10'd495:y3<=9'd497; +10'd496:y3<=9'd497; +10'd497:y3<=9'd496; +10'd498:y3<=9'd496; +10'd499:y3<=9'd496; +10'd500:y3<=9'd496; +10'd501:y3<=9'd496; +10'd502:y3<=9'd496; +10'd503:y3<=9'd495; +10'd504:y3<=9'd495; +10'd505:y3<=9'd495; +10'd506:y3<=9'd495; +10'd507:y3<=9'd495; +10'd508:y3<=9'd494; +10'd509:y3<=9'd494; +10'd510:y3<=9'd494; +10'd511:y3<=9'd494; +10'd512:y3<=9'd494; +10'd513:y3<=9'd493; +10'd514:y3<=9'd493; +10'd515:y3<=9'd493; +10'd516:y3<=9'd493; +10'd517:y3<=9'd493; +10'd518:y3<=9'd492; +10'd519:y3<=9'd492; +10'd520:y3<=9'd492; +10'd521:y3<=9'd492; +10'd522:y3<=9'd491; +10'd523:y3<=9'd491; +10'd524:y3<=9'd491; +10'd525:y3<=9'd491; +10'd526:y3<=9'd491; +10'd527:y3<=9'd490; +10'd528:y3<=9'd490; +10'd529:y3<=9'd490; +10'd530:y3<=9'd490; +10'd531:y3<=9'd490; +10'd532:y3<=9'd489; +10'd533:y3<=9'd489; +10'd534:y3<=9'd489; +10'd535:y3<=9'd489; +10'd536:y3<=9'd488; +10'd537:y3<=9'd488; +10'd538:y3<=9'd488; +10'd539:y3<=9'd488; +10'd540:y3<=9'd487; +10'd541:y3<=9'd487; +10'd542:y3<=9'd487; +10'd543:y3<=9'd487; +10'd544:y3<=9'd486; +10'd545:y3<=9'd486; +10'd546:y3<=9'd486; +10'd547:y3<=9'd486; +10'd548:y3<=9'd486; +10'd549:y3<=9'd485; +10'd550:y3<=9'd485; +10'd551:y3<=9'd485; +10'd552:y3<=9'd485; +10'd553:y3<=9'd484; +10'd554:y3<=9'd484; +10'd555:y3<=9'd484; +10'd556:y3<=9'd484; +10'd557:y3<=9'd483; +10'd558:y3<=9'd483; +10'd559:y3<=9'd483; +10'd560:y3<=9'd482; +10'd561:y3<=9'd482; +10'd562:y3<=9'd482; +10'd563:y3<=9'd482; +10'd564:y3<=9'd481; +10'd565:y3<=9'd481; +10'd566:y3<=9'd481; +10'd567:y3<=9'd481; +10'd568:y3<=9'd480; +10'd569:y3<=9'd480; +10'd570:y3<=9'd480; +10'd571:y3<=9'd480; +10'd572:y3<=9'd479; +10'd573:y3<=9'd479; +10'd574:y3<=9'd479; +10'd575:y3<=9'd478; +10'd576:y3<=9'd478; +10'd577:y3<=9'd478; +10'd578:y3<=9'd478; +10'd579:y3<=9'd477; +10'd580:y3<=9'd477; +10'd581:y3<=9'd477; +10'd582:y3<=9'd477; +10'd583:y3<=9'd476; +10'd584:y3<=9'd476; +10'd585:y3<=9'd476; +10'd586:y3<=9'd475; +10'd587:y3<=9'd475; +10'd588:y3<=9'd475; +10'd589:y3<=9'd475; +10'd590:y3<=9'd474; +10'd591:y3<=9'd474; +10'd592:y3<=9'd474; +10'd593:y3<=9'd473; +10'd594:y3<=9'd473; +10'd595:y3<=9'd473; +10'd596:y3<=9'd472; +10'd597:y3<=9'd472; +10'd598:y3<=9'd472; +10'd599:y3<=9'd472; +10'd600:y3<=9'd471; +10'd601:y3<=9'd471; +10'd602:y3<=9'd471; +10'd603:y3<=9'd470; +10'd604:y3<=9'd470; +10'd605:y3<=9'd470; +10'd606:y3<=9'd469; +10'd607:y3<=9'd469; +10'd608:y3<=9'd469; +10'd609:y3<=9'd468; +10'd610:y3<=9'd468; +10'd611:y3<=9'd468; +10'd612:y3<=9'd468; +10'd613:y3<=9'd467; +10'd614:y3<=9'd467; +10'd615:y3<=9'd467; +10'd616:y3<=9'd466; +10'd617:y3<=9'd466; +10'd618:y3<=9'd466; +10'd619:y3<=9'd465; +10'd620:y3<=9'd465; +10'd621:y3<=9'd465; +10'd622:y3<=9'd464; +10'd623:y3<=9'd464; +10'd624:y3<=9'd464; +10'd625:y3<=9'd463; +10'd626:y3<=9'd463; +10'd627:y3<=9'd463; +10'd628:y3<=9'd462; +10'd629:y3<=9'd462; +10'd630:y3<=9'd462; +10'd631:y3<=9'd461; +10'd632:y3<=9'd461; +10'd633:y3<=9'd461; +10'd634:y3<=9'd460; +10'd635:y3<=9'd460; +10'd636:y3<=9'd460; +10'd637:y3<=9'd459; +10'd638:y3<=9'd459; +10'd639:y3<=9'd459; +10'd640:y3<=9'd458; +10'd641:y3<=9'd458; +10'd642:y3<=9'd458; +10'd643:y3<=9'd457; +10'd644:y3<=9'd457; +10'd645:y3<=9'd457; +10'd646:y3<=9'd456; +10'd647:y3<=9'd456; +10'd648:y3<=9'd455; +10'd649:y3<=9'd455; +10'd650:y3<=9'd455; +10'd651:y3<=9'd454; +10'd652:y3<=9'd454; +10'd653:y3<=9'd454; +10'd654:y3<=9'd453; +10'd655:y3<=9'd453; +10'd656:y3<=9'd453; +10'd657:y3<=9'd452; +10'd658:y3<=9'd452; +10'd659:y3<=9'd451; +10'd660:y3<=9'd451; +10'd661:y3<=9'd451; +10'd662:y3<=9'd450; +10'd663:y3<=9'd450; +10'd664:y3<=9'd450; +10'd665:y3<=9'd449; +10'd666:y3<=9'd449; +10'd667:y3<=9'd448; +10'd668:y3<=9'd448; +10'd669:y3<=9'd448; +10'd670:y3<=9'd447; +10'd671:y3<=9'd447; +10'd672:y3<=9'd447; +10'd673:y3<=9'd446; +10'd674:y3<=9'd446; +10'd675:y3<=9'd445; +10'd676:y3<=9'd445; +10'd677:y3<=9'd445; +10'd678:y3<=9'd444; +10'd679:y3<=9'd444; +10'd680:y3<=9'd444; +10'd681:y3<=9'd443; +10'd682:y3<=9'd443; +10'd683:y3<=9'd442; +10'd684:y3<=9'd441; +10'd685:y3<=9'd440; +10'd686:y3<=9'd439; +10'd687:y3<=9'd437; +10'd688:y3<=9'd436; +10'd689:y3<=9'd435; +10'd690:y3<=9'd434; +10'd691:y3<=9'd433; +10'd692:y3<=9'd431; +10'd693:y3<=9'd430; +10'd694:y3<=9'd429; +10'd695:y3<=9'd428; +10'd696:y3<=9'd427; +10'd697:y3<=9'd425; +10'd698:y3<=9'd424; +10'd699:y3<=9'd423; +10'd700:y3<=9'd422; +10'd701:y3<=9'd421; +10'd702:y3<=9'd420; +10'd703:y3<=9'd418; +10'd704:y3<=9'd417; +10'd705:y3<=9'd416; +10'd706:y3<=9'd415; +10'd707:y3<=9'd414; +10'd708:y3<=9'd412; +10'd709:y3<=9'd411; +10'd710:y3<=9'd410; +10'd711:y3<=9'd409; +10'd712:y3<=9'd408; +10'd713:y3<=9'd406; +10'd714:y3<=9'd405; +10'd715:y3<=9'd404; +10'd716:y3<=9'd403; +10'd717:y3<=9'd401; +10'd718:y3<=9'd400; +10'd719:y3<=9'd399; +10'd720:y3<=9'd398; +10'd721:y3<=9'd397; +10'd722:y3<=9'd395; +10'd723:y3<=9'd394; +10'd724:y3<=9'd393; +10'd725:y3<=9'd392; +10'd726:y3<=9'd391; +10'd727:y3<=9'd389; +10'd728:y3<=9'd388; +10'd729:y3<=9'd387; +10'd730:y3<=9'd386; +10'd731:y3<=9'd384; +10'd732:y3<=9'd383; +10'd733:y3<=9'd382; +10'd734:y3<=9'd381; +10'd735:y3<=9'd380; +10'd736:y3<=9'd378; +10'd737:y3<=9'd377; +10'd738:y3<=9'd376; +10'd739:y3<=9'd375; +10'd740:y3<=9'd373; +10'd741:y3<=9'd372; +10'd742:y3<=9'd371; +10'd743:y3<=9'd370; +10'd744:y3<=9'd368; +10'd745:y3<=9'd367; +10'd746:y3<=9'd366; +10'd747:y3<=9'd365; +10'd748:y3<=9'd363; +10'd749:y3<=9'd362; +10'd750:y3<=9'd361; +10'd751:y3<=9'd360; +10'd752:y3<=9'd359; +10'd753:y3<=9'd357; +10'd754:y3<=9'd356; +10'd755:y3<=9'd355; +10'd756:y3<=9'd354; +10'd757:y3<=9'd352; +10'd758:y3<=9'd351; +10'd759:y3<=9'd350; +10'd760:y3<=9'd349; +10'd761:y3<=9'd347; +10'd762:y3<=9'd346; +10'd763:y3<=9'd345; +10'd764:y3<=9'd344; +10'd765:y3<=9'd342; +10'd766:y3<=9'd341; +10'd767:y3<=9'd340; +10'd768:y3<=9'd339; +10'd769:y3<=9'd337; +10'd770:y3<=9'd336; +10'd771:y3<=9'd335; +10'd772:y3<=9'd334; +10'd773:y3<=9'd332; +10'd774:y3<=9'd331; +10'd775:y3<=9'd330; +10'd776:y3<=9'd328; +10'd777:y3<=9'd327; +10'd778:y3<=9'd326; +10'd779:y3<=9'd325; +10'd780:y3<=9'd323; +10'd781:y3<=9'd322; +10'd782:y3<=9'd321; +10'd783:y3<=9'd320; +10'd784:y3<=9'd318; +10'd785:y3<=9'd317; +10'd786:y3<=9'd316; +10'd787:y3<=9'd315; +10'd788:y3<=9'd313; +10'd789:y3<=9'd312; +10'd790:y3<=9'd311; +10'd791:y3<=9'd309; +10'd792:y3<=9'd308; +10'd793:y3<=9'd307; +10'd794:y3<=9'd306; +10'd795:y3<=9'd304; +10'd796:y3<=9'd303; +10'd797:y3<=9'd302; +10'd798:y3<=9'd301; +10'd799:y3<=9'd299; +10'd800:y3<=9'd298; +10'd801:y3<=9'd297; +10'd802:y3<=9'd295; +10'd803:y3<=9'd294; +10'd804:y3<=9'd293; +10'd805:y3<=9'd292; +10'd806:y3<=9'd290; +10'd807:y3<=9'd289; +10'd808:y3<=9'd288; +10'd809:y3<=9'd286; +10'd810:y3<=9'd285; +10'd811:y3<=9'd284; +10'd812:y3<=9'd283; +10'd813:y3<=9'd281; +10'd814:y3<=9'd280; +10'd815:y3<=9'd279; +10'd816:y3<=9'd277; +10'd817:y3<=9'd276; +10'd818:y3<=9'd275; +10'd819:y3<=9'd274; +10'd820:y3<=9'd272; +10'd821:y3<=9'd271; +10'd822:y3<=9'd270; +10'd823:y3<=9'd268; +10'd824:y3<=9'd267; +10'd825:y3<=9'd266; +10'd826:y3<=9'd264; +10'd827:y3<=9'd263; +10'd828:y3<=9'd262; +10'd829:y3<=9'd261; +10'd830:y3<=9'd259; +10'd831:y3<=9'd258; +10'd832:y3<=9'd257; +10'd833:y3<=9'd255; +10'd834:y3<=9'd254; +10'd835:y3<=9'd253; +10'd836:y3<=9'd251; +10'd837:y3<=9'd250; +10'd838:y3<=9'd249; +10'd839:y3<=9'd248; +10'd840:y3<=9'd246; +10'd841:y3<=9'd245; +10'd842:y3<=9'd244; +10'd843:y3<=9'd242; +10'd844:y3<=9'd241; +10'd845:y3<=9'd240; +10'd846:y3<=9'd238; +10'd847:y3<=9'd237; +10'd848:y3<=9'd236; +10'd849:y3<=9'd234; +10'd850:y3<=9'd233; +10'd851:y3<=9'd232; +10'd852:y3<=9'd231; +10'd853:y3<=9'd229; +10'd854:y3<=9'd228; +10'd855:y3<=9'd227; +10'd856:y3<=9'd225; +10'd857:y3<=9'd224; +10'd858:y3<=9'd223; +10'd859:y3<=9'd221; +10'd860:y3<=9'd220; +10'd861:y3<=9'd219; +10'd862:y3<=9'd217; +10'd863:y3<=9'd216; +10'd864:y3<=9'd215; +10'd865:y3<=9'd213; +10'd866:y3<=9'd212; +10'd867:y3<=9'd211; +10'd868:y3<=9'd209; +10'd869:y3<=9'd208; +10'd870:y3<=9'd207; +10'd871:y3<=9'd206; +10'd872:y3<=9'd204; +10'd873:y3<=9'd203; +10'd874:y3<=9'd202; +10'd875:y3<=9'd200; +10'd876:y3<=9'd199; +10'd877:y3<=9'd198; +10'd878:y3<=9'd196; +10'd879:y3<=9'd195; +10'd880:y3<=9'd194; +10'd881:y3<=9'd192; +10'd882:y3<=9'd191; +10'd883:y3<=9'd190; +10'd884:y3<=9'd188; +10'd885:y3<=9'd187; +10'd886:y3<=9'd186; +10'd887:y3<=9'd184; +10'd888:y3<=9'd183; +10'd889:y3<=9'd182; +10'd890:y3<=9'd180; +10'd891:y3<=9'd179; +10'd892:y3<=9'd178; +10'd893:y3<=9'd176; +10'd894:y3<=9'd175; +10'd895:y3<=9'd174; +10'd896:y3<=9'd172; +10'd897:y3<=9'd171; +10'd898:y3<=9'd170; +10'd899:y3<=9'd168; +10'd900:y3<=9'd167; +10'd901:y3<=9'd166; +10'd902:y3<=9'd164; +10'd903:y3<=9'd163; +10'd904:y3<=9'd162; +10'd905:y3<=9'd160; +10'd906:y3<=9'd159; +10'd907:y3<=9'd158; +10'd908:y3<=9'd156; +10'd909:y3<=9'd155; +10'd910:y3<=9'd154; +10'd911:y3<=9'd152; +10'd912:y3<=9'd151; +10'd913:y3<=9'd150; +10'd914:y3<=9'd148; +10'd915:y3<=9'd147; +10'd916:y3<=9'd146; +10'd917:y3<=9'd144; +10'd918:y3<=9'd143; +10'd919:y3<=9'd142; +10'd920:y3<=9'd140; +10'd921:y3<=9'd139; +10'd922:y3<=9'd138; +10'd923:y3<=9'd136; +10'd924:y3<=9'd135; +10'd925:y3<=9'd134; +10'd926:y3<=9'd132; +10'd927:y3<=9'd131; +10'd928:y3<=9'd129; +10'd929:y3<=9'd128; +10'd930:y3<=9'd127; +10'd931:y3<=9'd125; +10'd932:y3<=9'd124; +10'd933:y3<=9'd123; +10'd934:y3<=9'd121; +10'd935:y3<=9'd120; +10'd936:y3<=9'd119; +10'd937:y3<=9'd117; +10'd938:y3<=9'd116; +10'd939:y3<=9'd115; +10'd940:y3<=9'd113; +10'd941:y3<=9'd112; +10'd942:y3<=9'd111; +10'd943:y3<=9'd109; +10'd944:y3<=9'd108; +10'd945:y3<=9'd107; +10'd946:y3<=9'd105; +10'd947:y3<=9'd104; +10'd948:y3<=9'd103; +10'd949:y3<=9'd101; +10'd950:y3<=9'd100; +10'd951:y3<=9'd99; +10'd952:y3<=9'd97; +10'd953:y3<=9'd96; +10'd954:y3<=9'd94; +10'd955:y3<=9'd93; +10'd956:y3<=9'd92; +10'd957:y3<=9'd90; +10'd958:y3<=9'd89; +10'd959:y3<=9'd88; +10'd960:y3<=9'd86; +10'd961:y3<=9'd85; +10'd962:y3<=9'd84; +10'd963:y3<=9'd82; +10'd964:y3<=9'd81; +10'd965:y3<=9'd80; +10'd966:y3<=9'd78; +10'd967:y3<=9'd77; +10'd968:y3<=9'd76; +10'd969:y3<=9'd74; +10'd970:y3<=9'd73; +10'd971:y3<=9'd71; +10'd972:y3<=9'd70; +10'd973:y3<=9'd69; +10'd974:y3<=9'd67; +10'd975:y3<=9'd66; +10'd976:y3<=9'd65; +10'd977:y3<=9'd63; +10'd978:y3<=9'd62; +10'd979:y3<=9'd61; +10'd980:y3<=9'd59; +10'd981:y3<=9'd58; +10'd982:y3<=9'd57; +10'd983:y3<=9'd55; +10'd984:y3<=9'd54; +10'd985:y3<=9'd52; +10'd986:y3<=9'd51; +10'd987:y3<=9'd50; +10'd988:y3<=9'd48; +10'd989:y3<=9'd47; +10'd990:y3<=9'd46; +10'd991:y3<=9'd44; +10'd992:y3<=9'd43; +10'd993:y3<=9'd42; +10'd994:y3<=9'd40; +10'd995:y3<=9'd39; +10'd996:y3<=9'd38; +10'd997:y3<=9'd36; +10'd998:y3<=9'd35; +10'd999:y3<=9'd33; +10'd1000:y3<=9'd32; +10'd1001:y3<=9'd31; +10'd1002:y3<=9'd29; +10'd1003:y3<=9'd28; +10'd1004:y3<=9'd27; +10'd1005:y3<=9'd25; +10'd1006:y3<=9'd24; +10'd1007:y3<=9'd23; +10'd1008:y3<=9'd21; +10'd1009:y3<=9'd20; +10'd1010:y3<=9'd19; +10'd1011:y3<=9'd17; +10'd1012:y3<=9'd16; +10'd1013:y3<=9'd14; +10'd1014:y3<=9'd13; +10'd1015:y3<=9'd12; +10'd1016:y3<=9'd10; +10'd1017:y3<=9'd9; +10'd1018:y3<=9'd8; +10'd1019:y3<=9'd6; +10'd1020:y3<=9'd5; +10'd1021:y3<=9'd4; +10'd1022:y3<=9'd2; +10'd1023:y3<=9'd1; +endcase + +endmodule diff --git a/RTL/pll.v b/RTL/pll.v new file mode 100644 index 0000000..d86f2cb --- /dev/null +++ b/RTL/pll.v @@ -0,0 +1,120 @@ +// PLL,用 50MHz 时钟产生 36.864 MHz 时钟 +// 注:该模块仅适用于 Altera Cyclone IV FPGA ,对于其他厂家或系列的FPGA,请使用各自相同效果的IP核/原语(例如Xilinx的clock wizard)代替该模块。 + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module pll ( + inclk0, + c0, + locked); + + input inclk0; + output c0; + output locked; + + wire [4:0] sub_wire0; + wire sub_wire2; + wire [0:0] sub_wire5 = 1'h0; + wire [0:0] sub_wire1 = sub_wire0[0:0]; + wire c0 = sub_wire1; + wire locked = sub_wire2; + wire sub_wire3 = inclk0; + wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + + altpll altpll_component ( + .inclk (sub_wire4), + .clk (sub_wire0), + .locked (sub_wire2), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 99, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 73, + altpll_component.clk0_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 20000, + altpll_component.intended_device_family = "Cyclone IV E", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + +endmodule diff --git a/RTL/sensors/adc_ad7928.sv b/RTL/sensors/adc_ad7928.sv new file mode 100644 index 0000000..2b1d777 --- /dev/null +++ b/RTL/sensors/adc_ad7928.sv @@ -0,0 +1,135 @@ +`timescale 1 ns/1 ns + +// 模块: adc_ad7928 +// 功能:通过 SPI 接口从 ADC7928 (ADC芯片) 中读出 ADC 值。 +// 参数:详见下方注释,该模块可以使用参数完全自由地配置单次转换要用多少个通道以及用哪些通道 +// 输入输出:详见下方注释 +module adc_ad7928 #( + parameter [2:0] CH_CNT = 3'd7, // 单次 ADC 转换使用的通道数为 CH_CNT+1,例如若 CH_CNT=0,则只使用 CH0 。若 CH_CNT=2,则使用 CH0,CH1,CH2。 若 CH_CNT=7,则使用 CH0,CH1,CH2,CH3,CH4,CH5,CH6,CH7。用的通道越多,ADC转换时延越长(即从 sn_adc 到 en_adc 之间的时间差越长) + parameter [2:0] CH0 = 3'd0, // 指示了 CH0 对应 AD7928 的哪个通道 + parameter [2:0] CH1 = 3'd1, // 指示了 CH1 对应 AD7928 的哪个通道 + parameter [2:0] CH2 = 3'd2, // 指示了 CH2 对应 AD7928 的哪个通道 + parameter [2:0] CH3 = 3'd3, // 指示了 CH3 对应 AD7928 的哪个通道 + parameter [2:0] CH4 = 3'd4, // 指示了 CH4 对应 AD7928 的哪个通道 + parameter [2:0] CH5 = 3'd5, // 指示了 CH5 对应 AD7928 的哪个通道 + parameter [2:0] CH6 = 3'd6, // 指示了 CH6 对应 AD7928 的哪个通道 + parameter [2:0] CH7 = 3'd7 // 指示了 CH7 对应 AD7928 的哪个通道 +) ( + input wire rstn, + input wire clk, + // -------------------- SPI 接口,应该接到 AD7928 芯片上 --------------------------------------------------------------- + output reg spi_ss, // SPI 接口:SS + output reg spi_sck, // SPI 接口:SCK + output reg spi_mosi, // SPI 接口:MOSI + input wire spi_miso, // SPI 接口:MISO + // -------------------- 用户逻辑接口 ------------------------------------------------------------------------------------ + input wire i_sn_adc, // ADC 转换开始信号,当 i_sn_adc 上出现高电平脉冲时,ADC转换开始 + output reg o_en_adc, // ADC 转换完成信号,当转换完成时,o_en_adc 产生一个时钟周期的高电平脉冲 + output wire [11:0] o_adc_value0,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH0 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value1,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH1 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value2,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH2 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value3,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH3 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value4,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH4 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value5,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH5 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value6,// 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH6 的 ADC 转换结果出现在该信号上 + output wire [11:0] o_adc_value7 // 当 o_en_adc 产生一个时钟周期的高电平脉冲时,CH7 的 ADC 转换结果出现在该信号上 +); + +localparam WAIT_CNT = 8'd6; + +wire [2:0] channels [8]; +assign channels[0] = CH0; +assign channels[1] = CH1; +assign channels[2] = CH2; +assign channels[3] = CH3; +assign channels[4] = CH4; +assign channels[5] = CH5; +assign channels[6] = CH6; +assign channels[7] = CH7; + +reg [ 7:0] cnt; +reg [ 2:0] idx; +reg [ 2:0] addr; +reg [11:0] wshift; +reg nfirst; +reg [11:0] data_in_latch; +reg sck_pre; +reg [11:0] ch_value [8]; + +assign o_adc_value0 = ch_value[0]; +assign o_adc_value1 = ch_value[1]; +assign o_adc_value2 = ch_value[2]; +assign o_adc_value3 = ch_value[3]; +assign o_adc_value4 = ch_value[4]; +assign o_adc_value5 = ch_value[5]; +assign o_adc_value6 = ch_value[6]; +assign o_adc_value7 = ch_value[7]; + +always @ (posedge clk or negedge rstn) + if(~rstn) + spi_sck <= 1'b1; + else + spi_sck <= sck_pre; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + cnt <= '0; + idx <= 3'd7; + addr <= 3'd0; + wshift <= '1; + {spi_ss, sck_pre, spi_mosi} <= '1; + end else begin + if(cnt==8'd0) begin + {spi_ss, sck_pre, spi_mosi} <= '1; + if(idx!='0) begin + cnt <= 8'd1; + idx <= idx - 3'd1; + end else if(i_sn_adc) begin + cnt <= 8'd1; + idx <= CH_CNT; + end + end else if(cnt==8'd1) begin + {spi_ss, sck_pre, spi_mosi} <= '1; + addr <= (idx=='0) ? CH_CNT : idx - 3'd1; + cnt <= cnt + 8'd1; + end else if(cnt==8'd2) begin + {spi_ss, sck_pre, spi_mosi} <= '1; + wshift <= {1'b1, 1'b0, 1'b0, channels[addr], 2'b11, 1'b0, 1'b0, 2'b11}; + cnt <= cnt + 8'd1; + end else if(cnt=WAIT_CNT+8'd2 && cnt16'd0 ? CLK_DIV-16'd1 : 16'd0; + +reg sda_e, sda_o; + +assign sda = sda_e ? sda_o : 1'bz; + +reg epoch; +reg [15:0] clkcnt; +reg [ 7:0] cnt; +reg [ 7:0] send_shift; +reg [15:0] recv_shift; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + epoch <= 1'b0; + clkcnt <= '0; + end else begin + if(clkcnt==CLK_DIV_PARSED) begin + epoch <= 1'b1; + clkcnt <= '0; + end else begin + epoch <= 1'b0; + clkcnt <= clkcnt + 16'd1; + end + end + +assign ready = (cnt=='0); + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + {scl, sda_e, sda_o} <= '1; + cnt <= '0; + send_shift <= '0; + recv_shift <= '0; + regout <= '0; + done <= 1'b0; + end else begin + if(ready) begin + {scl, sda_e, sda_o} <= '1; + if(start) begin + cnt <= 8'd1; + end + end else if(done) begin + done <= 1'b0; + cnt <= 8'd0; + end else if(epoch) begin + cnt <= cnt + 8'd1; + if(cnt<8'd2) begin + end else if(cnt< 8'd4) begin + sda_o <= 1'b0; + send_shift <= {SLAVE_ADDR, 1'b0}; + end else if(cnt< 8'd37) begin + scl <= cnt[1]; + if(cnt[1:0]==2'b01) begin + {sda_o, send_shift} <= {send_shift, 1'b1}; + end + end else if(cnt< 8'd40) begin + send_shift <= REGISTER_ADDR; + scl <= cnt[1]; + sda_e <= 1'b0; + end else if(cnt< 8'd73) begin + scl <= cnt[1]; + if(cnt[1:0]==2'b01) begin + sda_e <= 1'b1; + {sda_o, send_shift} <= {send_shift, 1'b1}; + end + end else if(cnt< 8'd77) begin + scl <= cnt[1]; + sda_e <= 1'b0; + end else if(cnt==8'd77) begin + scl <= cnt[1]; + sda_e <= 1'b1; + sda_o <= 1'b1; + end else if(cnt< 8'd82) begin + scl <= 1'b1; + end else if(cnt< 8'd84) begin + scl <= 1'b1; + sda_o <= 1'b0; + send_shift <= {SLAVE_ADDR, 1'b1}; + end else if(cnt< 8'd117) begin + scl <= cnt[1]; + if(cnt[1:0]==2'b01) begin + {sda_o, send_shift} <= {send_shift, 1'b1}; + end + end else if(cnt< 8'd121) begin + scl <= cnt[1]; + sda_e <= 1'b0; + end else if(cnt< 8'd153) begin + scl <= cnt[1]; + sda_e <= 1'b0; + if( cnt[1:0]==2'b11 ) + recv_shift <= {recv_shift[14:0], sda}; + end else if(cnt< 8'd157) begin + scl <= cnt[1]; + sda_e <= 1'b1; + sda_o <= 1'b0; + end else if(cnt< 8'd189) begin + scl <= cnt[1]; + sda_e <= 1'b0; + if( cnt[1:0]==2'b11 ) + recv_shift <= {recv_shift[14:0], sda}; + end else if(cnt< 8'd193) begin + scl <= cnt[1]; + sda_e <= 1'b1; + sda_o <= 1'b1; + end else if(cnt< 8'd195) begin + scl <= 1'b0; + sda_o <= 1'b0; + end else if(cnt< 8'd198) begin + scl <= 1'b1; + sda_o <= 1'b0; + end else if(cnt< 8'd204) begin + sda_o <= 1'b1; + regout <= recv_shift; + end else begin + done <= 1'b1; + end + end + end + +endmodule diff --git a/RTL/top.sv b/RTL/top.sv new file mode 100644 index 0000000..695768f --- /dev/null +++ b/RTL/top.sv @@ -0,0 +1,164 @@ +`timescale 1 ns/1 ns + +// 模块:top +// 功能:FOC 使用示例,是FPGA工程的顶层模块,控制电机的切向力矩一会顺时针一会逆时针,同时可以通过 UART 监测电流环控制的跟随曲线 +// 参数:无 +// 输入输出:详见下方注释 +module top( + input wire clk_50m, // 50MHz 时钟 + // ------- 3相 PWM 信号,(包含使能信号) ----------------------------------------------------------------------------------------------------- + output wire pwm_en, // 3相共用的使能信号,当 pwm_en=0 时,6个MOS管全部关断。 + output wire pwm_a, // A相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + output wire pwm_b, // B相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + output wire pwm_c, // C相PWM信号。当 =0 时。下桥臂导通;当 =1 时,上桥臂导通 + // ------- AD7928 (ADC 芯片) ,用于相电流检测 (SPI接口) --------------------------------------------------------------------------------------- + output wire spi_ss, + output wire spi_sck, + output wire spi_mosi, + input wire spi_miso, + // ------- AS5600 磁编码器,用于获取转子机械角度 (I2C接口) ------------------------------------------------------------------------------------ + output wire i2c_scl, + inout i2c_sda, + // ------- UART: 打印转子直角坐标系下的 d 轴实际电流(id)、d 轴目标电流(id_aim)、q 轴实际电流(iq)、q 轴目标电流(iq_aim) ------------------------ + output wire uart_tx +); + +wire rstn; // 复位信号,初始为0,当PLL锁相成功后置1。 +wire clk; // 时钟信号,频率可取几十MHz。控制频率 = 时钟频率 / 2048。比如本例取时钟频率为 36.864MHz ,那么控制频率为 36.864MHz/2048=18kHz。(控制频率 = 3相电流采样的采样率 = PID算法的控制频率 = SVPWM占空比的更新频率) + +wire [11:0] phi; // 从 AS5600 磁编码器读出的转子机械角度 φ ,取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + +wire sn_adc; // 3相电流 ADC 采样时刻控制信号,当需要进行一次采样时,sn_adc 信号上产生一个时钟周期的高电平脉冲,指示ADC应该进行采样了。 +wire en_adc; // 3相电流 ADC 采样结果有效信号,sn_adc 产生高电平脉冲后,adc_ad7928 模块开始采样3相电流,在转换结束后,在 en_adc 信号上产生一个周期的高电平脉冲,同时把 ADC 转换结果产生在 adc_value_a, adc_value_b, adc_value_c 信号上。 +wire [11:0] adc_value_a; // A 相电流检测 ADC 原始值 +wire [11:0] adc_value_b; // B 相电流检测 ADC 原始值 +wire [11:0] adc_value_c; // C 相电流检测 ADC 原始值 + +wire en_idq; // 出现高电平脉冲时说明 id 和 iq 出现了新值,每个控制周期 en_idq 会产生一个高电平脉冲 +wire signed [15:0] id; // 转子 d 轴(直轴)的实际电流值, +wire signed [15:0] iq; // 转子 q 轴(交轴)的实际电流值,可正可负(若正代表逆时针,则负代表顺时针,反之亦然) +wire signed [15:0] id_aim; // 转子 d 轴(直轴)的目标电流值,可正可负 +reg signed [15:0] iq_aim; // 转子 q 轴(交轴)的目标电流值,可正可负(若正代表逆时针,则负代表顺时针,反之亦然) + + + +// PLL,用 50MHz 时钟产生 36.864 MHz 时钟 +// 注:该模块仅适用于 Altera Cyclone IV FPGA ,对于其他厂家或系列的FPGA,请使用各自相同效果的IP核/原语(例如Xilinx的clock wizard)代替该模块。 +pll pll_i ( + .inclk0 ( clk_50m ), // input : clk_50m + .c0 ( clk ), // output: clk + .locked ( rstn ) // output: rstn +); + + + +// AS5600 磁编码器读取器,内含简易 I2C 控制器,通过 I2C 接口读取当前转子机械角度 φ +as5600_read #( + .CLK_DIV ( 16'd10 ) // i2c_scl 时钟信号分频系数,scl频率 = clk频率 / (4*CLK_DIV) ,例如在本例中 clk 为 36.864MHz,CLK_DIV=10,则 SCL 频率为 36864/(4*10) = 922kHz 。注,AS5600 芯片要求 SCL 频率不超过 1MHz +) as5600_i ( + .rstn ( rstn ), + .clk ( clk ), + .scl ( i2c_scl ), // I2C 接口: SCL + .sda ( i2c_sda ), // I2C 接口: SDA + .o_en ( ), // output: 每成功读取一次 φ,o_en就产生一个高电平脉冲,这里我们用不到该信号 + .o_phi ( phi ) // output: 转子机械角度 φ +); + + + +// AD7928 ADC 读取器,用于读取3相电流采样值(读出的是未经任何处理的ADC原始值) +adc_ad7928 #( + .CH_CNT ( 3'd2 ), // 该参数取2,指示我们只想要 CH0, CH1, CH2 这三个通道的 ADC 值 + .CH0 ( 3'd1 ), // 指示 CH0 对应 AD7928 的 通道1。(硬件上 A 相电流连接到 AD7928 的 通道1) + .CH1 ( 3'd2 ), // 指示 CH1 对应 AD7928 的 通道2。(硬件上 B 相电流连接到 AD7928 的 通道2) + .CH2 ( 3'd3 ) // 指示 CH2 对应 AD7928 的 通道3。(硬件上 C 相电流连接到 AD7928 的 通道3) +) adc_ad7928_i ( + .rstn ( rstn ), + .clk ( clk ), + .spi_ss ( spi_ss ), // SPI 接口:SS + .spi_sck ( spi_sck ), // SPI 接口:SCK + .spi_mosi ( spi_mosi ), // SPI 接口:MOSI + .spi_miso ( spi_miso ), // SPI 接口:MISO + .i_sn_adc ( sn_adc ), // input : 当 sn_adc 出现高电平脉冲时,该模块开始进行一次(3路的)ADC 转换 + .o_en_adc ( en_adc ), // output: 当转换结束后,en_adc 产生一个周期的高电平脉冲 + .o_adc_value0 ( adc_value_a ), // 当 en_adc 产生一个周期的高电平脉冲的同时,adc_value_a 上出现 A 相电流的 ADC 原始值 + .o_adc_value1 ( adc_value_b ), // 当 en_adc 产生一个周期的高电平脉冲的同时,adc_value_b 上出现 B 相电流的 ADC 原始值 + .o_adc_value2 ( adc_value_c ), // 当 en_adc 产生一个周期的高电平脉冲的同时,adc_value_c 上出现 C 相电流的 ADC 原始值 + .o_adc_value3 ( ), // 忽略其余 5 路 ADC 转换结果 + .o_adc_value4 ( ), // 忽略其余 5 路 ADC 转换结果 + .o_adc_value5 ( ), // 忽略其余 5 路 ADC 转换结果 + .o_adc_value6 ( ), // 忽略其余 5 路 ADC 转换结果 + .o_adc_value7 ( ) // 忽略其余 5 路 ADC 转换结果 +); + + + +// FOC + SVPWM 模块 (使用方法和原理详见 foc_top.sv) +foc_top #( + .INIT_CYCLES ( 16777216 ), // 本例中,时钟(clk)频率为 36.864MHz,INIT_CYCLES=16777216,则初始化时间为 16777216/36864000=0.45 秒 + .ANGLE_INV ( 1'b0 ), // 本例中,角度传感器没装反(A->B->C->A 的旋转方向与 φ 增大的方向相同),则该参数应设为 0 + .POLE_PAIR ( 8'd7 ), // 本例使用的电机的极对数为 7 + .MAX_AMP ( 9'd384 ), // 384 / 512 = 0.75。说明 SVPWM 的最大振幅 占 最大振幅极限的 75% + .SAMPLE_DELAY ( 9'd120 ), // 采样延时,取值范围0~511,考虑到3相的驱动 MOS 管从开始导通到电流稳定需要一定的时间,所以从3个下桥臂都导通,到 ADC 采样时刻之间需要一定的延时。该参数决定了该延时是多少个时钟周期,当延时结束时,该模块在 sn_adc 信号上产生一个高电平脉冲,指示外部 ADC “可以采样了” + .Kp ( 24'd32768 ), // 电流环 PID 控制算法的 P 参数 + .Ki ( 24'd2 ) // 电流环 PID 控制算法的 I 参数 +) foc_top_i ( + .rstn ( rstn ), + .clk ( clk ), + .phi ( phi ), // input : 角度传感器输入(机械角度,简记为φ),取值范围0~4095。0对应0°;1024对应90°;2048对应180°;3072对应270°。 + .sn_adc ( sn_adc ), // output: 3相电流 ADC 采样时刻控制信号,当需要进行一次采样时,sn_adc 信号上产生一个时钟周期的高电平脉冲,指示ADC应该进行采样了。 + .en_adc ( en_adc ), // input : 3相电流 ADC 采样结果有效信号,sn_adc 产生高电平脉冲后,外部ADC开始采样3相电流,在转换结束后,应在 en_adc 信号上产生一个周期的高电平脉冲,同时把ADC转换结果产生在 adc_a, adc_b, adc_c 信号上 + .adc_a ( adc_value_a ), // input : A 相 ADC 采样结果 + .adc_b ( adc_value_b ), // input : B 相 ADC 采样结果 + .adc_c ( adc_value_c ), // input : C 相 ADC 采样结果 + .pwm_en ( pwm_en ), + .pwm_a ( pwm_a ), + .pwm_b ( pwm_b ), + .pwm_c ( pwm_c ), + .en_idq ( en_idq ), // output: 出现高电平脉冲时说明 id 和 iq 出现了新值,每个控制周期 en_idq 会产生一个高电平脉冲 + .id ( id ), // output: d 轴(直轴)的实际电流值,可正可负 + .iq ( iq ), // output: q 轴(交轴)的实际电流值,可正可负(若正代表逆时针,则负代表顺时针,反之亦然) + .id_aim ( id_aim ), // input : d 轴(直轴)的目标电流值,可正可负,在不使用弱磁控制的情况下一般设为0 + .iq_aim ( iq_aim ), // input : q 轴(直轴)的目标电流值,可正可负(若正代表逆时针,则负代表顺时针,反之亦然) + .init_done ( ) // output: 初始化结束信号。在初始化结束前=0,在初始化结束后(进入FOC控制状态)=1 +); + + + +reg [23:0] cnt; +always @ (posedge clk or negedge rstn) // 该 always 维护一个 24bit 的 自增计数器 + if(~rstn) + cnt <= 24'd0; + else + cnt <= cnt + 24'd1; + + +assign id_aim = $signed(16'd0); // 令 id_aim 恒等于 0 + +always @ (posedge clk or negedge rstn) // 该 always 块令 iq_aim 交替地取 +200 和 -200 ,即电机的切向力矩一会顺时针一会逆时针 + if(~rstn) begin + iq_aim <= $signed(16'd0); + end else begin + if(cnt[23]) + iq_aim <= $signed(16'd200); // 令 id_aim = +200 + else + iq_aim <= -$signed(16'd200); // 令 id_aim = -200 + end + + + +// UART 发送器(监视器),格式为:115200,8,n,1 +uart_monitor #( + .CLK_DIV ( 16'd320 ) // UART分频倍率,在本例中取320。因为时钟频率为 36.864MHz, 36.864MHz/320=115200 +) uart_monitor_i ( + .rstn ( rstn ), + .clk ( clk ), + .i_en ( en_idq ), // input: 当 en_idq 上出现高电平脉冲时,启动 UART 发送 + .i_val0 ( id ), // input: 以十进制的形式发送变量 id + .i_val1 ( id_aim ), // input: 以十进制的形式发送变量 id_aim + .i_val2 ( iq ), // input: 以十进制的形式发送变量 iq + .i_val3 ( iq_aim ), // input: 以十进制的形式发送变量 iq_aim + .o_uart_tx ( uart_tx ) // output: UART 发送信号 +); + +endmodule diff --git a/RTL/uart/itoa.sv b/RTL/uart/itoa.sv new file mode 100644 index 0000000..796d314 --- /dev/null +++ b/RTL/uart/itoa.sv @@ -0,0 +1,52 @@ +`timescale 1 ns/1 ns + +module itoa( + input wire rstn, + input wire clk, + input wire i_en, + input wire signed [15:0] i_val, + output reg o_en, + output reg [ 7:0] o_str [6] +); + +reg [ 2:0] cnt; +reg sign; +reg zero; +reg [15:0] abs; +reg [ 3:0] rem; + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + cnt <= 3'd0; + {sign, abs, zero, rem} <= '0; + o_en <= 1'b0; + o_str <= '{6{'0}}; + end else begin + if(cnt==3'd0) begin + if(i_en) + cnt <= 3'd1; + sign <= i_val[15]; + abs <= i_val[15] ? $unsigned(-i_val) : $unsigned(i_val); + end else begin + cnt <= cnt + 3'd1; + abs <= abs / 16'd10; + rem <= abs % 16'd10; + zero <= abs==16'd0; + if(cnt>3'd1) begin + o_str[5] <= o_str[4]; + o_str[4] <= o_str[3]; + o_str[3] <= o_str[2]; + o_str[2] <= o_str[1]; + o_str[1] <= o_str[0]; + if(cnt>3'd2 && zero) begin + o_str[0] <= sign ? 8'h2D : 8'h20; + sign <= 1'b0; + end else begin + o_str[0] <= {4'h3, rem}; + end + end + end + o_en <= cnt == 3'd7; + end + +endmodule diff --git a/RTL/uart/uart_monitor.sv b/RTL/uart/uart_monitor.sv new file mode 100644 index 0000000..c384b93 --- /dev/null +++ b/RTL/uart/uart_monitor.sv @@ -0,0 +1,131 @@ +`timescale 1 ns/1 ns + +// 模块:top +// 功能:UART发送器,格式为:115200,8,n,1,可以把 i_val0, i_val1, i_val2, i_val3 变成10进制格式,放在一行里,通过 UART 发送出去, +// 参数:无 +// 输入输出:详见下方注释 +module uart_monitor #( + parameter [15:0] CLK_DIV = 217 // UART分频倍率,例如若时钟频率为 36.864MHz, CLK_DIV=320,则 UART 波特率为 36.864MHz/320=115200 +) ( + input wire rstn, + input wire clk, + input wire i_en, + input wire signed [15:0] i_val0, + input wire signed [15:0] i_val1, + input wire signed [15:0] i_val2, + input wire signed [15:0] i_val3, + output wire o_uart_tx // UART TX 信号 +); + +enum logic [2:0] {IDLE, SELECT, WAIT, PARSING, SENDING} stat; + +wire tx_rdy; +reg tx_en; +reg [7:0] tx_data; + +reg itoa_en; +reg signed [15:0] itoa_val; +wire itoa_oen; +wire [ 7:0] itoa_str [6]; + +reg [ 2:0] vcnt; + +reg [ 2:0] cnt; +reg [ 7:0] eov; +wire [ 7:0] s_str[8]; + +assign s_str[0] = itoa_str[0]; +assign s_str[1] = itoa_str[1]; +assign s_str[2] = itoa_str[2]; +assign s_str[3] = itoa_str[3]; +assign s_str[4] = itoa_str[4]; +assign s_str[5] = itoa_str[5]; +assign s_str[6] = 8'h20; +assign s_str[7] = eov; + +always_comb begin + tx_en = 1'b0; + tx_data = '0; + if(stat==SENDING) begin + tx_en = 1'b1; + tx_data = s_str[cnt]; + end +end + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + stat <= IDLE; + itoa_en <= 1'b0; + itoa_val <= '0; + vcnt <= '0; + cnt <= '0; + eov <= 8'h20; + end else begin + itoa_en <= 1'b0; + case(stat) + IDLE: if(i_en) + stat <= SELECT; + SELECT: begin + if (vcnt==3'd0) begin + vcnt <= vcnt + 3'd1; + stat <= WAIT; + itoa_en <= 1'b1; + itoa_val <= i_val0; + eov <= 8'h20; + end else if(vcnt==3'd1) begin + vcnt <= vcnt + 3'd1; + stat <= WAIT; + itoa_en <= 1'b1; + itoa_val <= i_val1; + eov <= 8'h20; + end else if(vcnt==3'd2) begin + vcnt <= vcnt + 3'd1; + stat <= WAIT; + itoa_en <= 1'b1; + itoa_val <= i_val2; + eov <= 8'h20; + end else if(vcnt==3'd3) begin + vcnt <= vcnt + 3'd1; + stat <= WAIT; + itoa_en <= 1'b1; + itoa_val <= i_val3; + eov <= 8'h0A; + end else begin + vcnt <= 3'd0; + stat <= IDLE; + eov <= 8'h20; + end + end + WAIT: + stat <= PARSING; + PARSING: if(itoa_oen) + stat <= SENDING; + SENDING: if(tx_rdy) begin + cnt <= cnt + 3'd1; + if(cnt==3'd7) + stat <= SELECT; + end + endcase + end + +itoa itoa_i ( + .rstn ( rstn ), + .clk ( clk ), + .i_en ( itoa_en ), + .i_val ( itoa_val ), + .o_en ( itoa_oen ), + .o_str ( itoa_str ) +); + +uart_tx #( + .CLK_DIV ( CLK_DIV ) +) uart_tx_i ( + .rstn ( rstn ), + .clk ( clk ), + .i_e ( tx_en ), + .i_r ( tx_rdy ), + .i_d ( tx_data ), + .tx ( o_uart_tx ) +); + +endmodule diff --git a/RTL/uart/uart_tx.sv b/RTL/uart/uart_tx.sv new file mode 100644 index 0000000..947af75 --- /dev/null +++ b/RTL/uart/uart_tx.sv @@ -0,0 +1,47 @@ +`timescale 1 ns/1 ns + +module uart_tx #( + parameter [15:0] CLK_DIV = 217 // 25MHz / 217 = 115207 ~= 115200 +)( + input wire rstn, // active-low reset + input wire clk, + + input wire i_e, + output wire i_r, + input wire [ 7:0] i_d, + + output reg tx +); + +reg [15:0] ccnt; +reg [ 3:0] cnt; +reg [12:1] tx_shift; + +assign i_r = (cnt==4'd0); + +always @ (posedge clk or negedge rstn) + if(~rstn) begin + tx <= 1'b1; + ccnt <= '0; + cnt <= '0; + tx_shift <= '1; + end else begin + if(cnt==4'd0) begin + tx <= 1'b1; + ccnt <= '0; + if(i_e) begin + cnt <= 4'd12; + tx_shift <= {2'b10, i_d[0], i_d[1], i_d[2], i_d[3], i_d[4], i_d[5], i_d[6], i_d[7], 2'b11}; + end + end else begin + tx <= tx_shift[cnt]; + if( ccnt + 16'd1 < CLK_DIV ) begin + ccnt <= ccnt + 16'd1; + end else begin + ccnt <= '0; + cnt <= cnt - 4'd1; + end + end + end + +endmodule diff --git a/diagram.png b/diagram.png new file mode 100644 index 0000000000000000000000000000000000000000..7e20c5f82d68c7bc606fc81f412c1447e160cc3a GIT binary patch literal 33329 zcma&Mby!qi)HVz%AdM(PNvAM$C>_$B0|yWgh7P4eM5H?g7^Fk#0pZXMQZuBej3Qkk zASs<6{@&+#uj~8%`pzG7U9->HYpuQ3z3;Wp-aAH5N0pR_jtB<_hg4ln$p8ljAH>1A zMSA!4O^fmpQ}WH9&w5%$%GcM|v)9+Br>EDyMn+bzg@uK$ukEi#eu;>PM7?`=e0;2; zqEa_9QdwDPXJ;208VY;%?A^O}!^6X2si`t@a_;W#pLcdfHaC-!lCa0e6+J!A?CjhF z1G8&tQVMDUJsh*Mv;F=3>(F!0o;^dO(W|Sgjvj%Lk&y#)$5T^NV&dX~k%&Kk{_O4T zxw^WpudgesX?~iULqr9Zw2Y`~YL%9j8UmKPQ{CO)chuF@tE#G+OObP!x{S(7DQPKf zL&KPun4q}0n!=Qk){>L?frFX8Z{4VvcO{C73T}4-O7$Y77FSqd#|)zlqNr8XB6b!es)BMT7Wnwn1# z2#wy}-lW1pzlaD;J-v&|%bo5YX=!PehQQB@i;k(OqUPqBl)|dK#K1r$Bob+4WTd68 z((_|?q@-2P*z`kNn}fC4@i82*wjLP)!otG5y}hgY`-?N8pFe-z)YO!l92%RQjY8?q z&(Fud^)=AZ*xuewPS*H{MmG*G20D7i#Hd+1H~>bv#{=^Y9v;TV#?H?2g@roh?GxMI zyQ-_J>r&r$PHrtW4EN^OMn^~gI6Fi2^}T(o(o>W7R9QJNFwoA;x2C2hr@A{V;6-34kF z{QUWI{fE@XMx(aOieMiXL`jK(fkA9$*{8hPF6{PHe@#|MLg~9$7w_PO;Re6ZL{n4K z4pf$tw|9MgeQbz#Nk_-%DA4@%>({@3=ZESoObmv8|K8o*?Vg#jva&L>vDv=9PAe<( z2#PFjZ|}eQPB~fBc=ViA^?BsiL;;IAzn zK>&g0n687J;Fk6-Wi_xQfAQF^{QQ?S7iF3n$0Zf6!1qsiCR8NVvG!$d zm3pPdd?h;Zf0}bqDru;f{7+pB+79g5~AzF(XbvNI)Qv?fAk?_~IswX&7C;3i0-^5Rd-);Pb z{;0_&%fUr%i@?iOgS_kv;;PJz9y5wPdJ4Mg&-ti9yjg+n({Jp!7m+lt}IiDmY*aZKRi*)a|M24 z42hHzfA@g5OAD;A?nO+@mm%ToFDWSdewT2PZ22na2}TO~3e~(KP+8E{_ioDeVW2Ox z<}JD|Tnm^{7jdbytrw9Ka14~5-zqA2yf(G0-^Nt*xFN^CXiz5(zB=$by1HRxyLeU- zfoB;G$92t#LU3p*+svPzr};PY>iD_3$*C{*szLnkA@FKE7&VY39KJiuPO8n{fJb7Q zU;odGf_H~4fp4o^L^xcY1zsHG<;zMiF?}FsjD+K=c^Mal^k7%Z;SJ0{jSn+`6KeN& zM6tdH8*yXpAp&n#U_`IvllX{#O9&hnMUJ_3Lcl{C3nma7Jiy#CWx5d{e|L|a7;}qU z9>fXOg7pi=-*R!GiJTGvItGFFuV*Cwn=3fmw73YPC|HKXy#6V(*Q{u z-z4)!x2mz>A=>SHL$%w!QP^}7r0)g0a-+6LyzPtx!mH^$(=gi{@1k{f*)smRlv%)8Ibiz5SCfc zUGW5Jnr=xPHoJ@*P2F!^dhc8-Q|XCy6!gke!5#(1TR*43!Q6V8jv8Xfa_o0{n?EWn zo>6b|rtY%n)eQJOk=`zAJ+@F(akwh3HVA^bbu(rQj!KFCOD!SBuc2CB9Ty*CHW-^+ z_l$ueO-@6i_ud5VL)kPhA_ABUCaiK`XAxR~Bwv)r?wnvvM(KTEt!INV=U|RECjz{a{_sg9!M=5gtGF6lFqM?5L zf^tzgelx4zDE34OUqr_l;%}Wlv*cGXB?V}r2Al0Za_~zjC;cEVs%pCTJ$1EZ#j$V) zBZ*Y&^QqEKs$ABoi|K>j067Ydi(!8JAgs z!tABmdn~6WcG5ILfL)iCanJYGA>j;N_=({!SwK}cl@j?nmNaG8Ratq1gyF22b)1aP zm;KL`3uWSgm z$Dh`}pG8sUFy)gZG3hw+vUlD+bN`wC6zq0MhRTCEaCI+S$`VG%JB0Bu1px`F-W66OAQzkFOO{1k;H!o{AN4JY}bwUT$VLC2TsSl~w z4h3`jj)->|*@?xIQDta@zCT*X4a5@`U6H*C|FkIkaP;2{U`_*;VA-F$Di>QmZ^!WU z4p3Z!Ey`ax_!UoQ$QvqbQ<}sIEXd?(VMjlbSe%q%_Un2Mtq{eOley4-b!5T!If~*> zgxXIYnc%wwL8IVrkNpLJEB$Onk(Yz7!HfM2bKh6bYto^Rgm)udcy*Jz;}WpPCZOf# zxv4zNkW4B4mWPaQj-#45Z^!awO>p@4-A?E(a}r186A@)4H6-yiqQ7YDhOS+#3lq)%726~$;Q-j)S z%xGq^B}H2vE5EwW>e}{O(F{s!De;&#SY>e8<;7@G?M+3nT#119lihdKc3o&u!ww3u zwsPFB-;WIcew_P?1Uc|Te`5y4IrH9u!|mirN}a9VkJJ^h83?sBh8XjO%%C8rbmwJy z11+3;#fuQ*nGRj^-P!=o8Ur_61M{^rJjXr@X}{y+{aDwjC2e8#D*af#`@EZ zq=wGhx#4R*u;FI`bigw;4wr6OflXG@_Roz9i@9+1LxSPy6x1j#MiCT`i}OCbuzm`P zQ)fb>4ih@g19Djsm!E9+cE+7OEGdB*o)Ae zWY)B0uA~KjU4D1F1+?;@5s=_Z$Is}u(1f?pWIXVv4&)03`W9ez3t-kXVb*-ktoaCF zNj`jo2d3eH5AZ>GBvKxN{z8Q|p!t7^5yPDb;cubO-a;d86cuya=<@#G4q41DkJ8Oh z0zAj~@bzkS5Me2eeV?IDD_XO;ZB_o=v5o(BhpJuH_>~X)Z)dor|JD<0qrX$1Mt5w z$fj>Z@JE__fWUfg7aqXuQs2kbs5rNUM+QUF&Uhiw={MTBrPwvn%>d?=+z4nUMO+wRl9TvG_jfw*VT45wCH?B} z0F}-%`e-8FQ{xzd>>^QCE9sNYnpep0H5OvGfuH~7cQl7a%an#CE*&9-eA|?U1|yvJ zxsh?P#}Yz4wS2)Ma*k?H8@XEHDm$lOQ`#RV9)A8On&%SYi8fuz15dgD&OWBd&Xs^q zgJp8N4Uwo1gZE#aAj7F;Mr~jtLPm)hm!o7?ytlf7f)wA?>WZ9>3AxTbSqxiMt)NAJ zfr-G~@*k7K*U8}tN=Ru_G@@&?&C-wiK1mFF>O&?NzIf-_T5@5m2PH1U)R%Bm#!^VS$w#Ge#@F zlp}%+D-!gQ7?z~%g4MP0Pq807L<xgLj8x=8KCIF{3L9udC_pFtkac`<}fWkx)nG|nm&MpLs)?`c^7E%wA z4|}Tj?ww|n+vY+@T5Jp&@ireU$vuMcGsU;|qL=ic^SR$8=3a-(Bdh=Ac~UFYPD`rm zs4E-9rW~v{(u&!4hD?Eye-Kmy(z*c8S0_9`OZG)Z9N{LxKN7p?=A3GLO`61o0LpJF z1bfxvgcV2e>#sI9oOPqn8Q^2zlu<9ep{0N7>Cjnl>MLz~#|pIY+M^d!s>#IyH-h!} z;7D$Vt;3aG{*|)k=uR6}Ey{5#xq(Z2G*N%f{5E)i2VUU;*^giF1UJ2H=95z{bYpg@ zKI7DaB$yvKfWA6ia)^c~P7@A*d?abBw0-y&`Idx!WmWwjrT1H6z2~|Z$tRg5n%G|6 zNtvtTYd-#oued z9DeyZC`&1$L>^mFY0{OdJXy}_a$Gfk2mDp>VhQduus-((;Zq=z8LSGKxOlo+<>E|Q zaWQF`9~>u-9fw!Bb*?9i)%;%V-(YlR-CRtdKPm6K0pX=NNJcR+Dy6c)&oRFz3HK>s zLZ3yTX8&ew@77}iPhR>wl@r*U{8~WlmmXyDCPs01kRy)Kc*l49A^Hm=+W9u1iVWJ* zsIp*lnM3T{x~U+BY;k3ez(h(?bVWq~$evs*ojgedO6H2FBJa69+nos4xi=wu`zC2b z;4hf~VM<`Qi`=4Xog1BX8(f*6^!59of*@tqd<^u2Mn@lYKJI+2Bz2QE14YPVNg#VH zw{mWaM zYNB<`FPicu(Vew^F9bxwJ#Yqr;ME$_H4ii2d5?DtZFO_cqH-T*cn+~B-D6?rc&tO+ zEYDKqMW(+J%A3ZzhM;(yM#-wL!*U%u|JU4Qu*N0Q&o_VS^0mwHY;MYTBBpVX@sV$W z!1m8E_#_lq;+ctxh7MQJd8jr4QeQu}(QlK&Vo_tc#D1O+NHZrBFg&^tF~=qR5PqD| zOekj@#s+lGg|^2P9v*=`1DN)bu2UbbQ&5cl z(k0}@XTrn4ujl6*oB5&LZO-wPRc!nN=^puH^KhamP0< z^H1T6Yu|HI@rs^DiA%A>wH}k=*pE7Z(rBXxI3fiqOSp#8T6`Wj@?swESGzJby44<{ z<=MyJLIC)S5ZF^w=@sDNHb?}Mq0R?sd@?zy%Ig&TuX;+h^~#LP4(;a=Vm77~>gXby zju*aOiV(#LcYAO_3@N?6TEH>kb(zPOeGT(G0RD|H)sO> zhC}z(I!_{muSz2XtIh9YX=Ar&zI$oL#3*zW=R#{01fIe+E!%p`P;unwGrqIF^6Pw> zJDP@mJM!Se`dG|9yWu@u&QBi>MYQ>-zf+wjsrs<`osj^K)b+AA^w;JREQx zRqm!^_K~PC<}5g|QrkOJMT+u$GS+@PAazKTu0;1TX+hvJAmvrXs$8-d8PrFjqnqG8 zbV;3Q*P3R*;eFF@v6AZ$&C>5m5FMIG4_@m7Tf_QIYEUCx>o0d@1>8}@^=LtO%QzF(OJr*IJy zsaEXr$xt1IuUA>J$9+lF5B$V;CICMqydv3exbMUL)-eZjfBniO(6l3zN>gay>N9wq2JQ%F2854WLY=Abt2T2Axy)FbX5M$ zQig+9Y53!8O8TcB^gQOQ-n}+yY(3}L8xe7?Cs z4FM@wq=2PnWCChv$-?ZL6HEVl*aHv2R#-No)k%3N(@%-0#W?0iMaL z!mO2gP*0~CINZlo?%OT`x&f?n3Id6L2>_Mb(OME8g_;SOw$Y(MC)E!DqpFmMYzh}% zAmVR~VKR?4WYq=aKdMj@`Z3>W!K^Ku!hs)|ieG&=&+5miX#hH&-Yb*sgjOe@ZkhTh zLaJ_m$fzGsjA8{&3MP!72MeCr>A4~Lr&@Vwfuc*V3?V=Z85E$4tlNl0As6%4?25xD zu`vn^+>1yZhuhzNbGyK5SQ8?7olkEWM|0xGaYQm6+1tE4Tzpf>cj0=FH}yAC_(p}1 zm>BSWP8g6&T?hsY5dDK-@PWsu^f=N=6u3cqU^ye^CrR?3_DsyD8}h6a1*&lD`Tu;2 z-_Ju$^T+ml+~dBX><_BKzU$$j9&r z^)9CWM9yvlO-Bz+S+*txh~TrdmHYd|oSL0i87S*bD>EEqYj~g#V9e~&*SEA8!}bo^ zq6j9ov<+CZ;lOz{VHq5$)4Ts-HgJ^<<(@B!;d+PCNEL(9@uo*`f>sj2^`G|9g;}2f);g%TwCK8q425V<>r3p*wpLTWSv^vznMve^z^jlA& zi!cfv8o~lyWqRJa%*sN2+Kl(jq)TH+dER;NsA?FmM*>P%YifAHj@05{C7~`?Jz9sJ zUj^WXL70{+bs;C=&@xGYe*V}>{NvZRg)WdphUS|2KbkIUPEkpCS?b2K2p^BvtZIrDXzu*^UgF15>LkifyC*LtSj-pf3sglL) zJD6SeRX8g#7-w6k`SgqNW#uYpLSE+YCjr1U)#ysOEQYelW63b4yh4XWktc$jvr_mT zz%xd|Q&O>7{SH^^rf#q^CYALY#?TLR5v9fZ9dFo~Nx&>=Waa^(9bg!BUeO z_I|Y2R+^{ti`Vs1Jw@C$KU70j5$`6QW(Cv7?HafOo*&iBikL!J@eNPl;xyu* z;C*HXT05%1+Lx9RpyPycqZ*7Y0qj$ePV{;C!33^PP2g(--rzQYw?0w8?6O$hmWk1Y z^Qji>FY)G;L_Xp$x8wZW=|k)ij%DTGHxO(y6}PpBlP9i!YCdzzy^^K*ALh8C7?_Yhb(E zl=_{;M6i!>x0V8^?d7ne{}vOGy%~@43t45DZ4Oy|MU3&(JKBK)E(JlqES;qmF-1+dpF*Db+&W8%+qx2Hq}MB@N4w#xUysh=GQgYQcCA?y%mK znAc4;Eev^*KiXyL1Y7g;Fk+^ix_8`??zo+C7$|Hr$ry$;0rI|6XJ{D%j}<3=grhdca4j4tLdJij8pDfA2xNd% zI+Z3V54*PcTp(p}I_vJoKBL>nAY&_OZuC$LGjFy=in1Z#3|@(MpeT1T8ieKCn%q2!U0?eIjviQG69#cq64wb5 ztaT?jHfLILTTotW@z2<*p{&CabFGF}Vk0@7B32)atPfLESds5#=`taa@~3Kwelj4vP}0#SszpI>JT-W3d1Og2tN9rke2Z?9A* z`~3RymlH<9NjdbK^|@e1am1iBFn{aUhu}QYTb%xd#T-xSSw)G=%3qjtX_-F7lKdRB_a^WEgJVSc#M zj>&Qh;zw{3&|o-BDh`+YES8i^E1nFlGJfY_TQqZJC#LB4K0FVV^Nk;XrtWl|mf!++ z?(3$>vlgfE_T8Ud3@2myq!=%y3U4@kS-LyLi~c!%2|5O}fymrWIg4OWym98l)EErD z0s^=gX;;Mjvt_2zP-)i%29Fy`XZr`+UTfyX16{DX$IXNeA2ildUTZ*nPtJh;I}3IT z=$9g+{kyqGC*l-Fc8gDR^G4nPF`EPJL z?q7JdmcZwgh4(TmEI>53WG`7+m>S&2XZNvf1ILYfqpFU}=0Wo12bhX8oo#Gtv9=px z^76x%2P8?^qSYdW2l$oE__nw_`L8u#59A&uU3a_p(A$YCq?rKE7=*9DWBp*Q{yGmNKc)%LhEcExw7iq&J$!WJuY z)5KFVSEH+uaWi)E_1|(8Hg1e#4qPw+qOtWwxJr<`j6L-9S57n-3LW{3ajB*~y_`ap zn!6WFOD#RMe{p<&FtI0@dAOq8mJ}F^vb8`(z&lL}S4*l|>}1xyWbV+xySq^$${-HIe(q8x-Kui;vL3Ar?%pf(L zPq^mu{ueoa7EL6Sx0tr2v>H75oW-t5&j*ZK?Kj2D3i$K&6Qj7%7Zwo3)^0Rc7Fv`w zPNwFu5+1Oj^yzI!va+fg)gWlX)t5x&~~hD{Y;K^DhxJzmK;Zqk7;s ze3IVf<<~Y7X}NU8X}^E@Fmr!td61K@_XY-Ez8?M6_b5yCZGu%e$s# z?(z*NF5cg_Lf(C2NAt*IH|iHnqR*S9NKKsNiP-67`19WCyxz*fp00-3n}^-tiX*N8 z=QLQ6{E;!F^Y`x}j&SdWQf()axtV;9%Bb<11o_~l;038@{agh~S9jJeFiC;u zqyKHeSg|xDv}m1}E|dfN)PjrOmJ6BNj3P>^d;_cQC$q~T`4{g_U7KRHu5R)IW znc*T+GeiR0nJC;Kln5x@Equ13VwvJYRk*xP;>1&yP@swFK5AYx>%C*O?(RHUz^s{U z%mfz_Y3G;^3g6JY*~G=sXO;nrM61$em_bLHP9LijD#B9R&y3IghLY-+bzPJ+8WlG^ zrSe8-3t5H_Ok$|O-9EoUBjz) z^4x9DW+@f;xb~QO#Px+oGX}8UQ!$KJ5mV8+%Z3K8mX|xf*q-Ok_+VN;<_PIRqVS6v z+xu;05D{*2FmpV}QAA&dG)`sW|%~r~-@@y}`%Vpb2}?0&0TX`WC?~Xr4E$TimIA z!JmH6Jt@k<#NN|%n46sT7aVyN*_Y|D zolpLyhEH|xE;uBQ{h?gEQu&jk(&Dak*DDY%U#paE{8s6cI*z#hY8;*(*NTQFQaCiV zct&~Rg(}Edc$tDDOAN-QPQJ^*La9Z64Rovu8QbHjoch&idE?^&p(sh#xNb@>ApLH` zU046!vK;SK%LE+|ffb z%Kat70(o6>shvsHe%sX{huc3%!!VmuU9!f~SwSMFr}z5{99& zP_!SN_k*~9Naunx?5tT&r9A+@`nsEWHAqJz`z`fKR+%khp-r~>kImD;!ZW=RMAI4|Kg zth^nHGQjMAq+UO7`OX=T>GNiB{^@&Jf!4>>eCR+t)4YI~KTA=6hRpTuf&6lTt9WNE ze}r#>c*9Y&0I}}RqgOXxQergRb~hYbUydgoc@aU++n#QxDKz*-a@PG~P&RxG)_{R{ z7NpKd;s@Rt7<95#?n^7YZ|<)<|WJY5C(DqY%4?uB%pL`H#7 z&kmigCZ_&HP@sgO_j48@yCDtWglLBZS6|B*`%GFUw--O2AIzLCx_~TvzF2s6Ci1`k6Aw0tNHmJw5;5d3K z(hq-KV-?-nO1-c*txm|}fm8i~XA`|8aPVn@O%<2SC>B*o{!E)H&yj=({G$!CK;SIt zSlPE7`RC+1TDeD<%F~A4-i_UA>OZ{w>+i2q4+R(th{VOGEA}SiStF->x)|EgOO;`% z;`OU)TX)~H>UT%XmOP}BwZ1|HLg&LFyYoQ&nz3a;erHk%TlhYMNg{mEWT}5BSvef+ zELbZ07jRuLWIK(@sXy1F_w8q!klbV&+*|Ri6AB5*{h)9ICt8@D7boqoxYK>~dv#L~6-Of)*n&wr8T-xpK z6g}ZVQ^KdxpfNIixd?%m#?p+YB#VSFuZbkEICU27{6&)vq;=2uMMeygV2YZ5uHD{v z{X*tGUZ3QqaP*q`vd_bTaylS$a_gXPG!XBNeWenl6ISj`YfZ*%%uX$9*j6nH{tOI3 zv|Q(#_-J6c;_CY};-vmFnh7P83Nx6YHnoV8s?;^Qdo@(kIOc{uP}xPctAOCPBj2;oh0Z%?3L+unIj30#NkeUDAYV9LZ-W$P5`y?!n?56!V8G zAzL8RUZ*JLI&}QC&Q9R;tDEQC^TqHf=jZ8Hx+z8LgKIfn;~sKWx`w=EmWWs}-`yFu z+qjf2>o&=yUAzRw8cV+9i@TbUs%EcS%cea80IRVxX&_ zY3C&l*RV9a!xHaFVER`hA2!*RDK@Uk7&<|ar&1+W>$6aqI8wPK;KM+>Eg&VQ9{A^F z)5VILU^DwTB)JdRKcF1d&JYM*ET{a_!Z_-j)n)zuiDu}e;lftY=_0-6?+=&er>59| zI-M?SNo`84Kln>~)=?~Un~CSf`*9_^V)#Pe2ue3Q zQFsLxo{dHk(ENC)q;oYgB|@dKC~3vf>$lfWB{)^o+{-LX(GMc8V=quR8Om~mNTEwb zOxB)0lEpkD1~~GgGzz=FvXA!Ff3Wo{4t?dWG(;XI>Rw8S{)CF`T5NoPXb?CyV`hz# z8)gRSLI&H-rNu{c-Is{Poto26SZCe%v~_BdbOU7tK}X_#xA{M{O36N9?M3_TkG3Ds z@&fY6bzx%$b=WgLQIlaz(NA6_WTckUX^svD5W8MY7UkfQJxqX8ZT*-<_Ql;xnIQgF zr9mA3XO8?NhxdR_Z^KdC9*p!uD4w`fyI*{arKe!C8Jg(9BMB_Wyv~!|gCZqVp2`$? zMcV8eX_;T8Q~SE+Hk`!hz}JR3k3xu|q+1ZbKZ04qQE6N)=hboQNSVjN)4g(~Orq^W zMJSc_=Ss{7D9rF|m$db_+Zp>C@{E^7xW&$8Y+q|mU-KVnoDug|fcU(@<16dbrsejk zg9QUCz(ZWLlv6Ho`oi^@ch?gz4 zrkz$0H+NK?EA#O0OAr!bbNe^HFZXRH&RyeJC9jDiY*pKiq$WYZ;9Z~pJ%4pMXF&WgI_|A4u~m-J}wK*tUf9V9>4NA*K@RSAXMB#-qGEND*O+y8x9bM=W0@7u-6Jlpix zHqX7L6?i7I8kWzi3m^Jm%NVlBr1d)8_M$Q39wFEC=7UneC?2Dqwp})>$mU4QHnm1!GXw_nk`@6bT9J{fSo08A~<* zYf+Mj5duoE@k>7Eo}EYKwa@K(w_f`ksNo%qB;^iW*p}4iin&go4mA@de_{+%)-W~w5kpuY=F_s6Jfy>EeSW#pEGw#KNb3)A z8X{tT*Gv)KVu@d~)%)E897zp-%(Qppyg?&CT{@kvL1w89aTh;x&kFFzB z1o+<_`zzAlw}lWKPy@E2hI6kwxqZ!bV9po=T^OR6j&4|EF&LPa4xkQf4&i-qqcu$l zz45fF=99*_Da3ro$C@++?`)Zo*4B_K$H;zkJ-0xo^0{?uplJO~IBtd?I))L(1(GiB z;6#lt8S5Zh5PIpWc=EMh6d+Z{t&tcoZZE9rDWhEY;5uQp)FKns9KHqC8PCi&(oooe z1Gv@}K^o`Jg}`0H+oa|-oX2vS$&1UxA4gRz-seY5r^aW;qb_kix6k%qgpnwbW8CiC zW-S4(VQ-;vm9q(q&1Ofn0=UF5T$Dpkc8U-YR9l%r-A^bPb!FegkHgjHbfJ{5k74)( zO*xdIQ29V9^*PeI*@2us+B!$i86e!*##V6zOfIAPNsUm-fM#8Vqj zFe~)mOsr24({JI;xv=w;CV=HV3R|dFI1AZln-A?2ci(J5ciqMS31U&MX@gYKBM6-Pp*Bp_Ls-CrO7g1 z#)T(MA>1X&sp&dkP=jL3D;!-o5h(6-_ zMsfUpmaK!jan;MXG@97kJS}i(Aox0i1vDzkMGdrAT^m&B>$a)q1SKh3_2CibzVftb z63c^@e0`!9s<+rcZJCNt-WpMd`42LC8|TP$OW}W2!D{-|--?GUw`%2}M!4dfc|61{ zd`tXn;$V-vw|CKwp)Za&+Lp=A;m^SnTaRdh<)x z@gk|W8d+XH8i3w$H3A^MT%D%$EiVT+P~2;F5+2#UVhjA@bn)3SzOU(-?6iUS7@fv%x5b%R$dPAqXFbOcyjv{6<(J8|~s2a4FR6AH`&SzC&J z5g}l@Hjs7+5GRdlV9)wrtM;07`K#-Srrc}-Cm$L(7il10KkqPB^<@&|7D78` zWB3q zJ*5rN?y_bGGx9v#a?L;}Pf}GVo3680stLut<+83?c-s@RN_u9<4ykGbdgXl)kA!YhH>NVhYcm%ZK{SDmspgB#2vQEOj#Tu2db;p zm|6!hU`wjqNM5Lfq9*smVmHpd!D^#V#PaMDb_g!<*A`!N)i@08On~MFJ&_l#tqa|z zp^t3d16N-mhc7`&PF|6H;ci*pqzM2=h1*NI~rF zkiWLPNj)up@FB;m=%gsDC+7mGM$5d3Hw5I;syL$X-wIbQJPrE#t4Tf40T z;#~EkgSgc^?UVS_2)NTT2Ela+cYSg$-YR&CE`GA|dlo;ln|_woQ}k8iFDpiP#`Ud% zMR;*CbdBwH03Bz`xdib2i$DJ8PqEc(M`AXbAP41-E;8mt~8YisBi&pDQCC zR(<{%Du5UC@^;2LX=JMt%rZ|!>S&h@H~@A+`rbGw_g>K9F#}bmc5RA ztlZ^6_Y*wsgoa@x)#xENi#@hD*wEHXeqVMz-|PLH!6G^xm?v5hrbqP%=vOlsUImF3 z3#rrN3$9a zQUpm1@^oAWLM;!0rPq6ZmEq&M{G?2 zjG{7JYwTscmjSYfIlPxmc}7H}DQW7{GXFQiC$3D|f z)-FmAmE3rUAu1pwWAqG8Am-nyjkr(*e~+UizvEg6Wd-t3m+USr z0ineXZfHcRM;cTU=XZOd_-NkF@3mDO`^i({g%|F_4h4oM*0Y2QtQb~+-DOT9ql{E(9($ZEou)h|IcVo;Xg$29~ZENe0++tQh50< zx$1VM8T09!@%We)h&~e4zM0Whhy3S9Aei?Zv9%Ec$U8CxuSGEAd}~;kmIll+lER@K z5o<4q%iA2rC7Tbl_U&(!e5*aM-~`y?;3=qUV3;RhNlv&f=bML(#ggBfU-n0pYA|C= zYvc>%7Tlcw#Dr2YKf7L)w`hRBbg;j2CuRiLfPR*L3WV4z>EO?L9LF+}P#^nSBIisH z${=T_?PuK2CSvKl?3zX7PUIz%w1Lnb8}hK!n@cx`HiE_MaV|Hplj#!p&jUhC*0mXS zy(yqY3A_@yQEJRtm%8eFICFE@kGQ#OgeJzE%_l<<#+k_|r>EdAU2NrrQ5foExImK* zVLV2X;D{cZOA>PB-l!G8YJ%O zjFX?eQQAD3sd$do|1aqOoR`ohk$jc={vJEWp&CjZtr4lYlthJ!+aw=Ot6uTFWTY(p zg7+`Z(3`OQQh}8jS`=QQtBokZ3*tJ(RrTiv$5YZ5FlLuu`4SUAUp&5SbLlGQ<`*I8o z26q=)H6R;)(2%q?vDPWiRTD=*owUaYyf`+0+^dYAaX(Kzv=;ggF;(<{uu2WnT$RrH z{ICC~tM?A1@_pmS?XoKC$lk&^vXUsu-eesfJ3U8bg(75SWE|r-I5sI{9Li&bjIz$L zl8iD-D1>Yo`98fr-|z2_-(QF4Ue~&>dtLYIZkE=Be903!a7u-J*=3+I$pU)#rb`cD zif(4SG$MHS9m$PiKU1h8kRdjW=s#t=5*uvHN~xPfrhJ(#ie&pAEqD%7r!G+08;1d| z06+65r_Z?#`q2t1O8`MSOztmT6kWQ7mG#2PzDV?q&ucW6{)u}*O!<$Iu}oCiwt$ig zR5pIZ*@JR+x!HbCLJiFU%}1#$kj=X-C9_bqZua`_zKR#<9@JPuuZ>Amu<5L5psi*@ zt8>{?i&rd5(oT>3x?JN9M5G)4)gGqwNg&oDwC($P&;IM0a34X67}{RaA-qZdFj&u(iFq0lFwkdqPn;ee8;yO`4xDqo}(EtX>y_79pUB)~+G`p(fpgzEUSM z?&7epMqOpjt1qL_u?A5Dp#Gmcl>j4gLy^AAjTL6DEJL3DllR)*$PF7uPFp3E=rfNXF{P-?V1Jcoe z#PNOzwyio|aK~#-`;~0_H^*c!{hBD290evufyVk{%@Jwg#Kc5U+Wq#6HFj6kZ`5Q#h^{PQKuhIl6&hO%i%^A+Li8N73{i-O&i+ZGQCXN0=p7ta_>zfANf-Lk08637X z{Ur~Goc;p1`nRrMbARNTFBfawHhYvG5{1$yZ4!*2-2$rDfBV7&-4_hzt6(~R7sU*S{U*VJvgA}={Pa%>J3>q)|SLDf=gTpPmk7B z85c!v&;yCCgXi@c`_jxkMPaIsoo+&*ZzkS6BE7qw=JpE2DJs{Td-FD^Vz7~9JK{I# z6Jqu`@BNT=;T~&4NCPAAsU}dUA~K3N&yhxqw4E%TK+=P%)0AI3ujaXl8LqTuls*mX zdQ%ZSb0&D`hz-gHY4u?057dNKX6u$OhyQ5)kDI^DKyd;rg&k{+vFpoUUnAS!zrXFk z3Hg65Ud+K2lX@vKd%!O;^1GeRuD20iwv^{x4<`SS8>dG)xG-=HVto@3R93ZzLv_ZW z?*63*{#Z(0RZV|H>isbq+b8Zm)4q)gOeY`Bh-aN=hxae?Q>^lQ^hxCnfi&T4TV!z| zLq&Vifhw@wp(=Mp_AF@-DkA11HUwI7Hz%{+ki5oX)u;V9x|(%kr$ zrP@MOX!DtYWRl~&Yxw}R!XU*T)>RfrSaa-i3R^cbo(j)F99T_F*F5A~x(a!%QkH}s z5m#C+tX%bXzqMCeh{f$Bi_0N7hifcAp%QTri{tQvHn;5A zBD0u`r5NCTrG(A6C<+H{*)r>W>U18}9>c9r@LRf1W?r1gVu!v?c33x6_%_}|!{;N0 z9mKiL8Y6@!8jObZsS9FmU?whL)xv*~&2Jap^1|bG+DI;GsAnco^v;7Ght{u|g1SLq zn-~|@>wCt#>Qttz*Az{tXFEIe<{6Ui-m?X<3LVq(MyX>ho>7`xE;_*Z9mCr*RE+D_ z$MQ%o#nOdS>M(Px5%De%gCA7opM@KB0%?&Jc$A&@rI8vh(NUl3UG~kM5U^Qo3B~-n={Yrr|s~gxhDA zcT2#8$@W;6V9Q~%m32Nhr^4;t*LPBNF0V__O!a%~w--jrEKc42JyNEtw95$0G`y2$ zABhph&P#D_!{NhM>IH}UWTH?P)xh){n3s&eNe;@T{n+Vx>Wf?#NNu2yI+!#^9e~|x z6lXd#(m-<_dn+yt4OX@N(c@2~JBUFU=e+g2hrIibz-`OAWVd)S}2uI=-$J%TMuj`4d`ol!CXIyG?-z9D3KUbdB@?+J;5JF?}K z$gRh6ovHI8)qwf}sdXJA&@ zB7ubB)8h=`Q==%9P({ZayfYTA_&6zt$jsw>6phlMLacx1dnZpZmQ3<>d{^#7m#WRF ze^w1-@h`cP^X}Eam9rf>-85PreFf?a=E@s3#v8*4QNO>rGJ4{PN&( zm+J2BK0dyx!G-bEX~}^#RZ?6-G3JP!ZFV{h*#9C?MG;R75bn<9x~aOC9HH(+znm^c zsuqytY;Y0tIO%H`4}vIu^`g+y`~%mmtuIIYF{l@fT^_kfuM}G0ofv;k`xD~%?T4Ws zxxbQc2^qp}__=K<==pib{!3MmT#q3_d0wuM#(9Hsa2i>Pn$ho}OFVVgI2KhJi`vCG z&#i2WR4DJxEe#yB%Pczea-0EjocCHDfdi*2o}3}i`LBggFsa(HL$G9#_~^$n?!Rap zZ@+Wps<9)RpSD@Mg=PfYt{Zl31$=|=x61vTn*u-bAy@8$a^>6f%fZV^Y~SAtxy#c< zPJF%!(TNmM;krWh&oG7V8^DA{5l`!6OeL?6dcF5n@0>{BTM}A9<}8?^SAL0Ab8jjB zHbRdlK5_GvAy2UCWJIQPEtpc;v}uzz|8c2eL^^mpcz2CgrcE}Wh~_MCyQeM_^KKKb zT`)*}Ie$B0aDDAM-j8u)$PzAJ9&^(fdhgc(VDn$FEG(0jbD5D^P=gO`Ar;aI^VmYIUb4facW zt$BMb%ZFU8$GaveI@dP2T98)dtHYG-GZ`V#sH$+X+oaaUCs7O(yeuYthY|1>S=mnE zMXN-Y2=qH-V8!|@=5v2E@;6qPl!KmJ`L13@MtjAETPZ~IV#FhR#y61~1V_r$1@{q^ zJXqikb`|1uD;{3v;oRdlE`52Uygdxj1!GGjQ5HB}aG(xAVKG zu7!jn4r)enbI@t;(VFd0o!JbozBHnmW{e*il&pGxb8TZn%|~jy6Kfs$B7CB`CVSH>QBsR1r9>TUnzI{UkSYmZ!Z|6$DAZ z*qsqb!=;}Bl~=}DpoDduclc4JQ!C6*3)jl#5zz33idUic51oBMK7nCs`rf;TUEmGU z^C*;^6S-x~`uO_29p#)|t7ziz(?=Z$Pj_k3z9tK7DRU(2GT|zsO_m$uW}}2{Mp4yX4Ib_gND6)dS2W}cGe=9!%A^~Ev^dP z{K5d$U*sjOqpQ}xRYcrRi&GcJ&NAB>F*R);V|P|;ng}~fo6q=Tt(r24PJJpZ)vY{# zPm)m492_1)8GFvem6D{74P73-_piPl|JYB0kLo>FD(HbA4T3cJ;HNE3ngvLv&50if zf^wUmH*ekb!c9S;sfXj6R;&7BV@rI`4%SJ}&C>tAuFBbX(Wy@HZBEVL+JJSXanY%; zf_E67Xp{*F5&%*WmeKh*j4p&uTc>&Cq1hPp5HLnqKYGg(YL~L}v5vC+L?8W>(}UZh z%u;0_c{*5&bdZO`$Urj=j&CTRwub2yJA9UnrKVfZ-H{ErSr3I1^^;egY;JWvi}8)dYyfjLrFaXnYF+g55P=VB2TAHdQB-SBEkg zgM5b+_K`ZyrS>g%7uc-ohDhdYk>3&Z9R&tG<(z%VtLcf%=b-rh2HN)6>TGfFI0uKh z3RxnXl9NvZ{zC4{?nP)Jyms43M$f6j3+_M`Zs#vgxZMXuW0C=E)7p^Kofyd~JRuOssM2+~O{Sr>3nQFOl*z#S&M@dYuU;wL9$xbUk*q7UR#3%5F& zmik%w<4!eo#a|>;lGsYm^f}NTmYNWb&f5ob}4@Cz!KxAM_A> zD&CoNcBjt#U;!*_IUX-e_EPZge7f}CRN`b8R$lw?Zr=y&~pYhN7Z$7U)K z-(ds=9BQwA%%R$L#j2SbP|G6&gHsb_W@#no*;El04td%&G zteAU0NRcx$jQt<`k2TP{WEBg6-$ggj;dGYt~>8Qh&M=%4^@c)Vw_sXVyj zGPDMImf5pBt+zC^OjNot-|ZlsC2V6Wz2)WNTleb%Xa(|_z25fNmgA!z~TPR(pyKz>F;OU;p2*H$ct6nGtmWRy@V6Z&_8Zz z9!fw@&xjP&dUgC1;vE@LJ*>IhTW&ACLJ!!lk%CU1mdD25pV^-HA$#eQLlRYQI`)zh zztVMqFndz(S!h4Z(VZlJqR?_09@!2ZcrJ2k6Z%qlfw#1>EWq;lvt@H+m&Jb4MAL8k zykEF3B>HU;$2#ZrzHs973#9ce$bYZt%@rkgU@K?V$WOY$6c_b8hOXiL_PLoa;pAnb zWERWUNSbtbnQ;eX?p){f;nOCXof(`Dp8KwWp22gtjeiygY4^voQg+A+tnKf$z>mkx zxqK5Bl;wUdta3)BD&?boibx&2uj(S1{}{O381`_7=BC0`uu}J-M6p_Sm)^(UQoWzA zsbnqE=1k<5Qhw!5)pqT#QoYUznpH~G$%$c`?thm1+@N0IrP(YAbzlBzcr(Nmy88y+ zp+Oo!Qd3&BUy|Msgl&tOA{CSi_TS*r8$jA$8i*4W1!yo~oswO9th+qC=Hcd~%-c(H zB*z|OrWwlig3D*l>jIX~N|RZ`rt7$F?2@u$cAl;$WOQMA_V2}m#*=bin2&@i7%Yv& z6Ub`7R3`m6rIUFe}xW&V>!+lK({Y zV5AL6y@~+R9(&I7)}oStoWSR$)X2gjFSX5u9=*=;dy_fI{n!22!c&MzvAdPu)^c&$ z(lcgKWfe}PipT?faEDpxjVdw61QGsB9A@<<6dXG?%rfim-I8!>;6sl9C*+}pxOJ|j z9`~(R>ssh(IYvsqSVr}QV$SJ%I=VFm@PcnbS4I1XhSE`DbNH4vBIM*$6~+41m*>_A>jOOeb+GlDqSC3O?xttsU6IU2S1Ugv{_(Ag6W z$B7o-J^hihBRx)!>1kwZP?o~Q`jDh7k~nar^m8`iVwb_gDU! z>#nq??)a*0_z3l(!DE;8D#xnR?`6X)`b7MZ{@Cg1jW2l;^`D&^T#){^PVGiJX2m|C z6km>ZjE$wO7v5LeA8bt;Tn_qNvli6-{jj~^&fu>DKINZ(Ge2`RO+xgu*5WRFnY7w* zX8}ttNdScdyfbicpr7Kmw*1*#)l@lSO*KIu15tyz^N+;-N>*B*Bkq0eVU{*9nTUC%RuB-3IPvKSEEe8=%1B7a*pQAw9dTH0A0?OTVqRVJ$d}7%*y; zH7CUQOyd`r^+{!WpQ}p~@lzxcU##ZrO?Degfq&Ml z;r>UPL~HDM(%f4?tD5QHf2%Q|f17$?>6P2BC3-AbZ`&iVqe-Fi6B%Zy zgVx=eh?jHX6d`o1nZaisWR3NG>cDL&iUd6Su)gqA%p4CwPoK1DJq%LQUL76lpd7L=Rdef4Z{*qFHH1R#`tUc|j!b0%SY zkeKH*f{ao-@@e*ow_#d;N#?NGD7Qr7b}arnLD`gFf~O^Dm_`=I-juUpw2}04>2YttGTSY2hrEmWNhn)c zhwAiLl-C_~_oYyV0hVpN_RE{oS`il;o}xGyN!gdg>cBditZ!E)ZA*nJcQNStXL6Na z!Bvk;Nuz*)DJG#lQkW*1KX+i&*y7s2YKE^w5te@NR5>RkKPgM#5PwIO!oNyWJ%m?( zaw%P|lw9!qEy3{#d9K*U4#SY~B#@Hmc@bPXx(-&h&wj8JLLQULC!eKh+g+o(fQ>FU zO}sjN8idNJB?elG7SF(U_FG;eWxCZ7FKCA3SMA$b7w?XSh8w9E$t~G`i z7>;#sw8~Ym6`0z~ zfX8RSkM5C8CsF_n$I85$_9@lvN(0o z4LU8cu9%H#a-l!rZ^uu1fpV{p9H8-uz?!_{Yd{wYz6$i${)#!x1Y>^xPq)A*Eeq;*bZ zhb^CY+V?bv?|Z%aqco#1+~YgZC?&Y!nbW`%d?*-w7nAq-C=WGn9#$4sm)=Ef^EDGp z-xb4#?tc&yM_Nxdl2g0*f|}Z2wTblo;I=aEaL7$d^&>t;9^tRID5IsMK(if~2~x){5FvKda%*I$i7QWz_xjHrb!hoI&?F~@%f z%t+5q11gBr;u14XWR@vOzAceGWvATPonln}!JFGd*<6ub1_Xvrac?5z<{aqZg~J52bTn&w+pDXySI`^e|Xt;*5izrl?J zh6iq4Qjw^|IJwP69jgbJS`f4SbJRvAFhyOb?9F4kE$&7WI;*-DsShb-l5gR)%chG5 zKHep{?T$E}jzTGEAQrTI{8G_%e#N(OB>C3FHsi7843{) z=p*<_wG1EZp4gJ5r~tZgD7J@LhRNxJA|#jSvonQfHI1+4+DSy7YT5$#arcMKHBVb)EBpZ^4f#gKi0L$M3!%P&|8-lA_IEHmRSw@V?XBrv__M4XzyqU9W=@nEH<|DD{-5 z!?w#SWZ0tu1&n}Kri)fClf-TV*{EaBBV))wgxqO57N;o2SeShl(BAymR;bwZcX?6d zQAM;k@^91yte?531R}l~w(fgFitz==L5iPw`e+&@-3NQ{RbCNr>9aN>8IH+aZcU8Z2Wr})hLBBu0C$%L&1j$ivzO) zeL+^Vtzc3e#MwP0dS5H+cBHS;@c z+Zu!p0URkT1^eyDt*0d!Jr#{8y`K1rq7kLDdI>5 zX2_Mtk9Man30F9(E|M}sZy7;?&)-OiL;m$k^t`qPZN{Vn7Ffb(pr+kuQ4be!?3S%+ z&?O~BaGI9&rQJLGNITB{bmZZpy&4z45|GP=Eod+}3$bglXQSWMNaHqcztp`b=N6op z{fl1+pzWpn={MmMg))VE@>P;l0PDHRf6B(Lty$ zv=Tj1DC3pgUx#qz!(m-gtJ@-7DJgY|XT!Jn_;g7Jk{W0zOZoPVDL7cNRKKBrDX*vp z^02v$!evb1YNcEktQdZ+(Z04O`VzOmCe&vRZI|l5=wIR!LITjX%aR7# z2-Hq}4NmjWI47W-c$o}u zHUAl?Ue=*-&q+1bKxg9>OBj8uZk;9j4Zcjb`r3Wo&x{82q`-(bi-BfD@rvNzX%`E$ z@Z#Q7rWAKf{A}8t22l|H03tgn^y=KLN@2JxRv12_v*Iy=hsx099=d9+(P02 z*hK)z@zP;>Xb*bLF{k88Ft38b^6{7VK2gM4@xE0`-WRVog|JD_GOmkY1AO~~h}k!9?E?u<2=rbnh}JDWrepmPuL1 zo`%~=Z+ZMUPblI0mA|ME(I315+IOvOmB9yhFX)>T@(RZ=zLfsfov5iVS){kZ>+|xQ z(#5W5_6qiBMtuXkJ?Z+l!;n^f*Q_r2eud`QN5}rIcU;51y|1|Q<4Z`evT(&)7}}(W zfrxlL~&X^_#zuH1fLK#2Nj>kSAaw-3&)ma{c z3JG%P??u052vaHqbURZgiiM_i=_(yy?-y3T z^jR^z(1-x|edLHZTa!jSBj|p{yY|^JN(?zlcj3}l$d|68{rAVU5u&Mz z1=cIC`d?jvek!L}@#X3$j?lf#?|S{NOi8c-RIRt#cgqUv5qx={X)&Kj{mcZGM-g}& zGse7K(6fov8Dx9DXcZu*FN96@n{6Qm;}yqD%7n*Os>3E+#E>L;7>=NnkG2xN8hLW> zsN1-`D}ynp7wS3c06#t@tlojzkq`X7ZDX(DN56YemlcDwfDSl7Gx`WrchAG`6fDbq zR|W%#3~v}xM{9hO1i&=yV?N+cn?LJ?K#^iyM8FG>OTqiIL1#16hN)+$8k?(4g5IM< zpsyYQxy;Oito6Ac{j|Js6lB+9%e1=IiChhp)Xk5cU-3s9R?AP(FPMHxXj%U06! z-8|JY(9iV?PLZKXS%ks1PeU9T(V3A!VWVbNMHL(HAuriin;^&e%lGT%yL|fM8)M0B zd%Lq5Lt!spQU2OC-iNU^FYdQa{t>|<1wzmKvW8TM9wcstdk@v!t%g7!4@)hTkDtij zyN%c6>TKpTi5ZoIrLqA|pxeW4k^)iCoX-j2*i$(s3NA51MPgrMie7^idSx>-WRSov4j zHs`sRYfu6#r9ZX2$nqr*heNOyYNX{qFuKVV&~xVXts1=czb3v-+6_%egh0X+-^CAA zksx_mQh+&|B8^7!Spa$$1NUFl%!z#O^E+OpVln%ov)gkGObfxEL9UveMJ?wq*Tf9T z=Fod28>D8Odxa%aZz=bkzd-?!l{uyp(U)d(4uBpLFf2YOKI~T=OP1@us{}xDZ13Ux zBVJ#V>({A}1$oz!&c606OvUsUS9@vWqYVi7oraAdQ3Lc0dG6sxO1)tLN>bQRi{Dl3 z9_v*Rc6z1f*1wFkR!W@0O*b%J=d7MvT;mbZ$w}zh*Z+E-DRgPgq+#s^z8E}qaQBx4 z3J;DjylxUMc1KZUMKYg<1k0d2QUG! z38&#l#l%uEltPBNbH<tWa z03Ic0A46P{;EDGy3z7jeW(&Ocpv(8eumA90SR3>AaH@elk|B9`LI|tql7GJ7C(q^G zs}RaSUQ5dQHmFDze~BWM(mju+vd)_)B60{xjIv}by(gmUsmpy9g9OphPTTz zqki1HI2Vsj8`2uq-u-S4XOo2#{KASaq$d*kRw`#2#dfR9yIRMOfISOG-L$sK+3eZK zxxO8S|0)IVt>VSjv~Yn-M-&$fgS(%dc*{aMIB&?c)I2_)06NcIT<-PfupVJH@36*Cl~ z;P&0>E0{d`*MvRjt_U~1ZZjYgmavW?$B9E=!FR@eM}kS)P3V$C@cgXe%%B-$?nMzi zMq_goBufez?i+|>3#4EZfql&30r3oT#M@Lw>Q?wg4$qlqFNpUgfoyy_?0BQP_vl2~ zT-prLM~>(O;@BRPMx06=y?;;}LAY50rdN1tZ$uiPZ=9RYLp@*}W{0!tqv5;GE!M)o z_W2ySH*jYw2Jfl#GF%lymMP)ZvddXX}(e(3-6=9+5ZqQ_@KXHw6-p@BoIH#nR93{yQ+Ed(iSzaQ&tE% zw5q%sf4ICnbvZ2`zw`DN9PI*r8<#1eg_bQW$j^mU8Va}5h{Mz{Ecg?m)QAnFHk~}F??(>iB zC%*jPP}FN6ahvWtE8paN+xqCnQ_}CX50v~L&hU=Py~U=gI`%D4LM(8b-T$}kV5rHI zhBw(k=)O7U7vW8&fcFfI8hE2?@9qt)_j>aQy1PEVUG(Bq04cR2{}&uR5rM*u?%x;_ zhVlN>O$l?CzJ3t6pw&doaTw>VV3pHs!lj5IgWmEFE`4j#{re9yn_GZnD+>RX;1*bZ zaC`fb+!|enm4ESFDWCKu;Xg^J_7az!hb!VB&+x~9V8t_&SI?UJgjOaPCeFeD&`>F)1tjNwV~!Y`E=@?u*Ux>MK^sq>M5&|{AJnEzEs3!yVLelLCC z)+fv>059_U7X&^q11)CrSKdYE`@vweH-_7$BmPz84;Qd1)J?PS0Ua8BUtEFs-DDht zLo=!&hI|Vp%rn8NZhC_gtv@}XSSfH7Qa-S zMiLe2`(-lb^#rvNn01_Id?L12P6xTdhO0x64Ba)qHFxj*1*^BcJPOd<;oiIvk`5T# zqJmrI_}2yO{8@14#ad-cm0mH~*_VSG7ygpDNl+dqOdRnv%<|Osag>3`*Pe}%nUDp!t;KT&3$=R?u!bSiI!=*~ns;2Q4mGsbjO{k3Fea|Pvpx^&r za|JUE@%JK#1N36@X3*G&PIDYaRi+rnk<|b)Pr4!wgv{=ud!GDKuzSqenmnobPeSMx zvWkE3dVZx=Pcnx#AwVmwGZmz_W`D^A1SuiA0K)OxG}<@;DJxTLYB!7 zNJ4Fp?XB^hwZ_&A)e{bSra4oC}OokmK3Cz*8Y(>MNSdLyZd zn7Y1E;+Ic<;qV&W_11RQ^)=|7P@tRa>hDxio4xhjrx zf)!}C7gEeQE#+L$@~ai-d|YS-Ciy>$W~K!C(*th_9g9ASh=t5_FNqw4r8e_Q1B+;N zKw);RA94fN&d=BUM}cUnRf#fTfYdl|_0TjE2Ti_%uM-q!!@H;!*?9cOBIZyrJ0}G32prC(_ zunm4e;m;_aFcok&oC;`x8Ch^j0HurTR%`^H(_)(j^(z(|FZ&$DT_h6f$-QQS8N{sQ z8BY;7|LgykKNwuldKOs0WTpyA+upFfcn#oG`z2tiCCJEZD_Eg~q}otbjvl6EKxArVJ`t8_@4W3q!h1Q zU6y%jr&ZMVvw?TUGq7iy>1=45u`w?CX%x}pR-o&~f%#&aQWRJyagj;th5)-K>AvQaD|7+V`zf!q6ub* z`zRi`V|I#p6S}VIysBGCLp3VD_@zm>{9thK3fU!gM1+>u;!60=_1#u9@(fAi7RgN} zyXym~k_C25v2cUO^#B|eTJ-wTAd7aWluNoY# zyvL6S^(mCpz-Xcj z#KsItEOUPaU0FWTo+IJyWCsg4l7q;bNpbdqj5`W_b5e=#vM`t|B*G0ogGIShr_bk^ zo?-xn3S5K@k*Vl4Io-TomWJ9rHhR-Lhr8Sl+goqCctKfY_`gZgd8`62}wqs0t4 z2ZpV-Jt0g^0Hh_BWfDlX1^RpKv9l30@I#dw_~Hhq?Ye!vITH8xb7r4{%f$K?ACcs5 zH+2*}L9u6}YVM*{Kk&HAlIHXYUpwu&p#DJB)2t*7wI`@+uj!*vyR#U2ySgWDWJxB+ z@kG{ic^(j@C&>7R1|B;ZERMi0ilK^9ky_}n$G2_{5>a$5f2d#%V2jiciqAu}$bK$m z>ii@Vy5T^mFAT_wiJ*mvf;(m5(6=YXYLA$zP!RelN_DP<_kUfA2iE+@%4*Pk65Ng2yD*1P_PN>JmyA}xz+H=76gMgZG*S3i@W(#ht5-Wj7vLsGgw%G-N%AiiKmDyCzHhuRa!gQi1rMnvg)Thwf(|DuK&BeQ;-<@cOB!j8}cP?-{E} zzI<3n94rl1ISmYNIgDS9Ag1l+Ovv%oPusFsm zp0w-C#Vd#le6CrU8g)1AW>pHje;r)q=hGKZ1(#~R3J@)!eJ<`yj1b#DMzRmQituq9 zAOF+@#V6-hnSEi@BKYs0di-O3LhF3@hG4L^loSnCiF-|}DuDSk-x?ju1&5-W9()O` zr<-h$^^tGbIaK!Df8Hd06{PhU>SFyVsQr^bZXB{YQV1@%7P6)JX?}Pc?|yL1^yov& z`s5>I!L{`d0zVwvUP3y_A)Jkq6*1Z-N)%H=3!I9I4xE2jlciM}`GRj7F?DeOogbYMwPOXs*Y>Z2iPj!|?Io2!1)$^ZEhFUINz z<( zd9?oJeBzDWPgd^TLnsIW;3YT>tnFCS1Dmdt2t6Qe*R-W;?;fMvS5nON@01aW@Y)?sv|2O zBHfR*(+?q1bxg1Di{7ot-`^Yfo9VCD^{VhW?gkvt3Rxn!94>FX%vk*4Emzk&5lo`a zIb8@NBtJOA*uphvTnPoBE(%dw6HX=f3P*kyH&Cr>d#Wgf#XbCF1+Xv`z8a+TeUcwt z0;BT78SZsnM>}?L{V>Z6wxrls$`OabN$ zLzTN<#>X;Zs?(z%pDjgcpvcI+v#UP~TKN>sA3Pgv6vP`DZb^4_lrzFBMv$^ z9V_O8S;%V#ZzhSGhFZ-=6j?<`%a!YjRk~me$s3 zjHM|2>G5+T--kgUQll&3RC$Hyq#K6E=L&bPFlgDhIcrJajBcf~6@T$Ko1I+qsr{K0 zqoVJV-C}$N(vathREr>|&mUU-r-u^b--*cTpx!Ht9KhpS{FS+D7QjK!A931V6=PEA z@N<-L?1)AnXW|laYhiWZZdn;`^N~h=Wv{R8f!hGY-gDYGX!}Qq6jroD

V43@oQ= zn9>1W{(jrnE*NUUaaF=FKOik)fisGiO|)Q`&t}Z>2_ZYV1y!MDJ?bV=f%3C)cS^b_ zUE2$J@?P+LL&_8FM7*tk032NWnTuAi{;m4S3|M((FwNPBeRF^&FlDl7G(B&QWI6a- z?xv4Erd3vYrH0oVKT%ee=KNDDWw;W#7uq5tqGB;eng3@yBFp)H;akqZ$FRM`3QKuf zGmVw9KdgSN@Zj^(GveyXmn(IM}h6O6T#aVL)&OI zKJZxn;|fLWW@UHANz^as6&9u3ycjj~64HzJ{<`gtI|N<#d&|XaEfGSx3{u!_ zQ^cp1ODExFw`L58y_?szN}$uqwl{(KbEm7>+cvmy0M9eenonoKGXyDL;=eA=qqZHn zV-SW|=5Mw6%Uh^ZgUm-y_kwT4iDG##KA$zI;Ods($Rig2J=%Uo1wV@l%EcX974%*) zJW&H;dMbW)@_l&vga1?Xdp!ie6lCV)xy-?N7DrSxF5$R&jx3yElQSi9|4^@6z+jdV2wZ{Bi~->JXyY zn&YM*SvY4y*w$_@SL#~AUld0Hap2$$Rj(*8Ut^YBYW(TGqBu+5hq9kwOXA0rtyBB#&v^gbp`B{{Yw4SpV|S^DIf#z#>s(WI$B*yV4vS@C+6PF`r|qW{(OeAF{< zytbEa-J8+oD&yU@DUW)WrA7a4F(4cUrQZ8K&AHJ5JeV-KR|$rYL=krFw*`SCjti^r z20zyN{4O_eccW|nAd1ycoCPgU@yF2o{~xP||8Hm8W#;0jr>$OuRR1OGYny0QX*fsx EA8|z4)&Kwi literal 0 HcmV?d00001 diff --git a/wave.png b/wave.png new file mode 100644 index 0000000000000000000000000000000000000000..2a99f36aa14e0b461e7014cec5d8527ba1a84995 GIT binary patch literal 19175 zcmdRV^;cA1*e@wvf|MdX0wU$mN=d_!E(rkvXGrN*y1O|v3_~Lzjg)|-#0(vRfOJbK zb?5uO_Yb(g-dSsAtv&n6{lv_AKKn#!zE&b5W+cYK!Xi^qmenhyc-C=pn9336q+}>VaU!R|!|N8ao@Aco))6=%LHg|XT-oAm|-Q9zO z!-2scTU%S#*LSyrL*KuDzx;dY;NWn#T)ndL>-zTU4hFxpfZvSh$8P!O`Et-HV;8zlTRhcU@gw8yj~!I~c-C*M8UO z!|Ch2(f;l4SBs@VKLRHv?j|QEeTIBC&Nf=sn!o(|l9zXP`)7H4Z)|1dZe--gW~0il zKP!=A;kC7Q9v*k`sJO7OyRMDSyNjKk1_c=GPD4Wj{nfzH@viD;#ZtZ8+}z#l?5w%D zd0N`t!ms+vvyH8(RDVDJU)}E(ju-s>@9OL8ix&{aCdLOXnm2upqoQz|Zkv#hkhh&? z2mLO!pGB&ws_gshTU+narqVjO;H9N^OXHE>TeWXzvUYUf`fYk;Wo7e=C9$!w6Ag+% z*#zId-ThwwI^F{tuM${PfRB%lBM`+ovpJcWnURqZ-6d?Psi|>scYivD(tGXKhy9h5 zlvZ{|HtUAMw1(8x?@oW!-=N}l4+h7^`fM1!l=*E?qY7+uS5=Kl_aF)E z*=!Uwo%d_puBVgAcXGKf{=syVm8siWH#~eoU#^mp_q4a%JW*J;?VG6e zqV@1%XbY@!xv7eDt&UqV?N1RN*Ymv1Wc3U_KCADOJ@PH`h3!mx~8>r zc;>N6e4$k@q)Ktj?NrB%hM#O<;RWz3U{kW7@K|IJL^1)&)B#wD5G-uA{~Lv^aMP>z z&TNEhVz=zivtkp}nwK~M8Qud;@k8QJ7DM%S&Kb7voby8y=veLzOP&uHH(Rz^yiQ>5nZE#NU-}K9cPc0f&ZI9b5%gA>VBLBEhAA}jOeEGmQTP(2-jrv%anzMzmx)xgHu|{7Ji~@%SgHGGJ=hsY zj?|^uN9*pth1*(dYulFZW=rOr+BdI;k-eGiq$?J&g3mU+e+WKh@X)3C0zKm|=H~wN z(O^yXJ$vW~GzKT_JF(GGkN9D}L51Ek!0fxn3;0FWkcLj5M$Tk8+n3}-Bvt~_o%Z^} zsN^gI`B2;&z0{nR`EHh{{fZB73UtTr&CS`NXs}TAqe0BCe@tw(wCaJ9GquQVlh3Sk zX2x~ynVJ9tdv|f-=cg|1A7DUj;&}8@zFVd=&wI zayScSY3iI6pHBoGgxL;i=BpSircuLi05;I&fA@IVF{}F^@o6Rkb=C0ai~rdjN+iVx-TDMQU;Yp4s1?^Z;wgDq*8kADvAufv0EnCHXWeIasG?A( zDBru0P0oOLaxtfoyY55V1#VK;9)j0(?EB7!7Z%Tjhc^#K%Zn-)A)TkXdUs06gLmei zCQ|ytfW$^f)7WZK-{4-$P0*QK&~C*W2e$66GtpdOv{l z-zef{z9YtZSUFc8{S>^0-paJ({>| z5GAjXufnXp1pn*MKxB_Pm|{!p!Nxwj-BRskc}o@Qa5eq{XyUVaoWyQW-u+(n*EtTE z$6$}f`xAZC>99Vjj63~AhwAgoS-M)*efh`9@=(wA%0g-rRn;1(`dyPJ zjV&Mi6eivRLhd+kY0Jk#R+u}X>k{o_Bx64nBG^-WbrbI>4P3dKEr~w(!g(4*wBvB3 z&R)Hy`yD1#T6+XZE`0AkE=0>S`lMHw?iD&;;^#)}A6p8iIM$pe{W1OIKYuRr-0f+@ z$?GR4Le3iFe+2y=RyX6-ZeRG8Z2i_VGZ;DRB!xy{sSGkb`tnS#+T`5&`^94>|Om=v248tS)d_FYOYvq!JuuQ$2 zU%OP{e&abtLITtE;~dq3-!#{vKeJaZ|F(G6{#3|eMyQ1TClj6hvy^K)Tgr1s>W0$M zZ$FBO<})q7kQdMyG#S2Oquo?Vo#C#0Y&$HasAeBwji*xK87}&G$~%nhpV%Hd16ff! z`z*ezAG#i{=Rlgo)@uI+=_HlGaU7WQ{8^sNk00NEINS|kNsbJ2k0mhCiR;VSh0O|i zeE`{nE?$!~QNU|{{%}%Aw8>gy^~`&bv5znmtq*gJ-{ep=8zgC3=lk`QU@eeU0y%-F zM5XVjWw;wNC6ID`*+#ag3VwX9bB=s~CrJ5mMJk0JNlY;G=rzI4yShP#4=2oMRrk1NBCCbA-s)M8Spn3s3kcjUxon}3!l=SvIu`>#3j zHXi9jf-NXleKUow>T8Ub0fz06zq8ee+ig`Nbs4K(t@aL0Kh{dK)ueBB6yu=|cY8M9 zD}L-gGjZz8>YV!Ahc#|o)pfz}6McjCn^A046|o!Q)oCW%Ij=Gnc0_>gaF)xtHd&vn zIzY}|`%bn*xo=@D&BvS0{aCzp@l-0mJ3)t|kzYhtxVIZM{p%fh3~q%2t7OBaab5k@ zGUpXGsanPK4_lLpI;mV=$OR+NIg(oI@Jf(W{)_y|D7P5)6P2O|byyGCZl>^pb`AZ> zyIAqaRvfgITr!C&gI=tL;GPoioGBx2&os_8|F&giYDjwCY+Q?ZHsGXCVhXyzuov`kT|ydf+e zXZHN;H2Mlasz@eB7)bEF*PpN+Z_q3^70f8q)BG$9y0cWiYUW#qdt=23IU6Rdb(v5) zxcyauXt@qoRtM_$UkqRSf0}&rGI~*fh9)CFFJEO(0}nd&zWdNZRt4d008j?*pZ_qZzB!_4UX@#c$6~^12V+=9QpHH(mhm zPoo-ZUmR?936D6={ISl$HAnkM=4t#H_K8c!B1UAGZFslZKQZagCNsQOF4Z33zQ8D$ zmGFUpJjk~MzJ%O&F{|AO7`|XopfapveNgjmaqXt$&e<;NiQD8uC*maC;jWDEjbCYr z=IN#OO;TkvN&Tlqs&>CQSnbDDLh^}HX}-w$z79DT^1k+X=5e`DHE0!_B?5%N%FJa3!%AlET!_H}LfhS!xt{5LDLm2-{!Ilcr24)dQZjN=rv1e*SUmsceLR zHRS&MRuIx=FAUn%Z@(>m@ve02>sF=?5# zmBc=!nrh@!;&I_!Pj^-An0S!3F9f=YX7UaP^zQk7d@1MmEI+Oq?vVX}oEKH?$!y{z zTbKQ0!cbF4XEjIX=HPKb6ZDWJ+kpK1AT)||le85GT)|B);Cx3HpF_OAXy?h# znO8eF=p5s+O5;NLyZMfm_vse@_WM6SlgXfoSMgfSnqi^93Z*C)pEmg<;W0`^k^Asc zmIx~o-%WB_K8*^l!=_al;~}GUF>|em*LtU6{+z)kSaL%U4ARRT5BHv84A-JzqNOEn z$-uHv3!K|<31UgZY4qa`Hy$^*S(*)}_UDhY%fyz^+>|Ic(4(Hrm91OHQue)>7G=_e zKjvxahpAenyz8K1Z}h%-<>Qlyz-_h3ux z35)8!5_L356{qi8C!*#-X{bdZyY+r`ty&c{b8o(IFkSf)8{DXio3OPJT8-cQ=P)SJ zm5*j-3u>D;zL(e13Hw$rL>t1%`qDHui)n6F!JXEiAaQQV{RwR`78dRE*sqp?OKo=g z!FCzerCA?OXaQHV$v}r%x0d7?!EMf`Q}YHX*8)$8-QLt&3GuHQ?q88vRdt0S5B)zR ze}du2zrT18T;g`oZw#&K;q;#F!IBpg18xhqeS(n|WhSxt{Zk(%GWkCBHIjxKlb2`s z*Zy^SYc?Fqx-_PeqVs`LXRlo8OXIV2cE3gaU%Jeqylgf~)VNB2!;$PEA0}XyV=vVR zONvx3qmf!XuZ6y~+vgIyz5LmBP6r&)J2&i>&z!|1C);x-V^zWa4R`CM$3U8`pf_)V zKl!+>@q>n963Zs@6Q}66(45_;=``I@NT<)R`GW292Y?dn!=Et?sK3{hnF{{V{*1S;YRjxi*5XLExHr-Z)}vQ0s7#(^P@Z0IM*i=AvSml^rVASx)n7^ zeb9(U(TEzTHbG%njj66&o3eF+mxYcxbcAT$frs9WnlXdUUGK1fZo<9)8Efd5(dXHl zn{$UGZS)I$*kQ7&1l-mFd3@I)%IAT0}*n=p;PlIHzue3wmWl)ndydtiWKoMe47`k+SvkpnL zLFh#Ryk&*As)HVkKLSv?N+1n9@^~de^uQ#9O7Yq`9sU0bn3)Mv(IHGj zs$hIU8)HZp7184fV(G#F0}3%NMh}>+83spGHe8(gp8DQ6DIRPm{h&KwR?$o60I*T( z_d(6L0MAX+a0Hw<8q)ri^-M+HMrrz+S=HuZqHd^0T@Iu8dGk$61_Oxv@mTQmW)z{) zUuu8RmkHhW!o2Zqw)%K!)F{ZdM}Pk@`f83sur~t9-&Rkz2$EHj4`jj&*Pn-lDv!gsG4J(BRHk&= zV|sx{!|%M^2N5|kII?X^9q$a89LD23{`PKp z^V~n+`TVAWOAA~LGN^Gn#^V4!qSlGQkss=z`1*bz51ohzEl-7%yMoZ(#`gC**8p9=1R4^+8kK<91`Fns`yS|Z;F=qFK&SlUC=S% ze;R_QIoy^O(@e^UQX$f8K;Y~tCo09Eg(w9{fU%to;DN>_VmgH5f9-=1$jX2+eR_Ew z0_Aq2O-8oPp1Pw_sIk(KwB5UY`x_31V0Gj>BXr8W;=${k9t6BB5gJJL#P{IVMHB+O zt|eFkStC7nQ&Tg*)h6v8<{9^=BnS30;V$)TE{2ZU)N z1X(6u48K-_J_ttoPxKI}wnH`ujjF>||o{xurQ+=O{3dqP9InQ3G`^h2~^6nZRs?;Gi6Qo(8ys z`8Y&qmmux9fM+}avx1H+jK>%mrGds%)uC3=LA4g3bOoLMj?*v-VuTYb=@>yHAxa1D z{^nYjT|#p-;SzzU$vJiuv=`+zCv1sU{+Zc#zessFm z0GUhF`r~%Fxbc?A2PKgwyBv_HHP0F;D~{nh?>#2CPg^-`=oQ+P2%bdFt{^{AztnU{ z3S-PrL3inbVV*XqQwF!ms~m12S?*YTjT3?KZ|)gT5}PRe}FWNr1P(w?qTm?Y7+d9g?W#iz zuAI*6whOo+MfU><_niCg*SbB+zzk2yisVbmiqZAEY*fm65so47O^If~&DPiGDn=&> zU=rXx|D;6dFW%_{i(&D;Xk&fb;(89lab$mn+r1Q0QC3tfY|FOnG@pWJ_K2-GQn7Z^ zwxZ_K>qyA#4P~_yQT=;!$JoaukDw4KD?ogIe zpv#7lfWdy!wx-cc?Ng|ELA$i9Y;t7Yt|(Vf;DrdktE=n5;GlP#g>iU9Xc5~erKex^ zWMd@T(U#=Sgs78#M(pYCz7mJgt3(qTKN^Zs`0YIS`i5c}5xYAa&(ZtDTT4OWMU;8v znVuB7iTBYZ=^@##e`m@0FZ|@T39cVDk$xHm;~v=kyOryrzMZ{eFlp&1F*jqs9Y~TF z{xQJ)Z)XSpDXZ9g;nqPA1+%zu6_NJ82Wgak4gjI&r&bHys_mV0HQ#pm?l*6F6!%BR z1DVBT4~eYbJR2&u!oPWKCVgxPuc+kUo2G9kcW8e>#B~^C4YVPY08zU_38h_$R?u8CeqQG=y6-bH8YuW*w|iw~{?WZ&YRXtx?`H9nR{o8? zx_kP7YPL!;sN)^LdE9tVTQUW%+^84gmBmYqBzc5)9=;lq{w~v-V(k8HZ{WvpjVL-o zk|#Tk@5A-u$=Pd0PCFAsF*~xr@{%{*$M0&SDgyE{9DEnC-$`%$ony)j3%grtSQkLYRKZnuaij%R;BqSvEd zmmAu)#7rW)hRsBo@s|2=Q$pKp^?P48e*c!uG^5B8CVLG|`Ug7Ynn}S}Vvjnlh5%## z*UMd#UJDOmj^ket;;t--5WER(d%V_?)_yzs6w)VDZh& z>g&DH4jb6w7-ctpc9r0ieOj zoXM0`8nOEKxmqNEHssWmRZLbZRmEGAvAeyd4_~v)>WXw>ulS*%a$hDd6~cKy03wA$)K#XRr@k^&Z7)r# zYvfng_c{jC1Ir)Lv)v?At$3fVj)d-5I*WuRcL)dVJg@K}MNDeiIgGB?&1qc*3(LAh zjd%#Y{B05WDxUH=4sOu{5za5suL=%GChFZ8LN82tQ=hL#W7$;Z#CLeRH~blf62<)M zu0+$o!mTDNN2tIGJ?H)RyX~mrk4%g7IIpKFWTQB{H=nZAIY+A3hdg7wzrGYh={6a% z?w1aIUIYcxuoZd;d)T6d&-5*xTUrz6y@a>)`r7eWW%VhSX5C{Zd9~d9pr<1u?ROut zu+X$RxW({v-03)VC#YSw$f%n{0jvJ2Vesq5mX$SK@S!!eQEWv}{qE8FwbX(A^jw>s zY~H@u7AFNFgM7zUwsZ!kRo899K|)Mv&UI@|Gbi%ZlW)z9%am$zwpCjJRIt@Yz``FM zZZ*4sm3yR9ULH0tK*4(@C##Yz96#VoClrqaZm#E2(M*2MLO+`TW4%8QT^n#6Dp!hM z`bDrZRllp6rBw=ZiD*c|^JhcFHW%|bU(Qr+Go|as7%Nb|YEzb{gs$>s2StVD29IZ0 z`r#UQyo=wnJtsE_Tt*km$_1sdol8h--=b}2&g1g(mJiyl+Af@=Fd*>SOq1twhpyWN z<|ay>MNC0|t=}rD;GVbaUS;bzmmyd1HUk04m~2GQ++59rzV0}y;jWp_!z}lb*ye^s zlHQ|B8!KfaM#WXUy=k9(CfkHQ-HD9zT@2b2BhTg+7l_U=^`jYKFiLuDu<5pMTuLt= zW7J||tL`?8BJGpYR*-YXu|0XFvlvoV*6>^Ne*5UY@2tIGvMK&aL2?(FkTeBXlYT1s zXtwYTi6sGd85!NpU#~m%GWCi>*yLiJRpc}|d6A$FeW~XA1o3E<9bvP|T9@e7A1?i9 zpGYpHjPGP_rgq-1N#Ej~1*sd~-u%7t4@qRh{+w@e%wwS`Oer%OQ$D3O?yjH5{h^~! zSKL#ssVm0S*$v3IYiVVD1?d( zUh;tZ_`&sQtZzdOc1Gem`^0|_aUmOI>-PZZ0UN*Y+gkRN?eX!alO zyRkJ`(*f)AvA)r95PKHY^e`4dz(eqbZb6gdTn^@+E*R`b7jOa^k$qv)s zzNNR1504L=12o#u+t~i!I@ttJhs;gQq% zIM-D{L>9-z9PrsN=Ak8Ed@>P9GnioSAA9;#AcVq0v9o;s^<55AbntNg{1pb&MF4I`K&UdoFO=G5xVFVb4bPy zgVBHQ&4|{1p~By<#5qTxXfyqG*Y;b(BoDEvnn{a^uV-Zi z|K&#=#khi5cgjKi(f!vJIbYnf62kX3b5-}at>7j{;^C$$h({uRHm}`jcXKbA;txSw z|Em&R4r-D&VIGF{|1uroxH*OEiK_pUvnrK?r76ehBg>R$64amjbcuf#@kMV{X5dr1 zBoxv)AFJlc1*i9vsOhaPYRI%YF4~!*T*=hx9)94Kf{EMaLGXMQRE1O$Uf<^?%E1c~ z9%jJ#75)5W@%`)Wmiym9fV?FMu|3lE;PmIH&TOoFH3z}S*RTF}Mb(>ikYHUO>Lm}s z2UWdSpUtI|>m+5M^pp0Jgu$!;*m*>KA?b%>`fx|rFSA+x(CmwUfQqCK+2)U`; z%s$@N4JAJ2%;?GY)0r(V-^DC(7sefJ5A@pr0xJ#X8-TSAB$MIO;Cpe|4co z#G%~G_=PR7MdJB~uCpM)M;AQMNu!`fR$kP$scFF6^t!|VP0ZJ#x>ytguN>6xpUaTO zCs#vUa)GnJAT!G|_l1((_>PYm7XyfQ6IpvCZNb9ovwtV{J@_Pw%Q=|li{lH zzkbBzhi#(nX*+DMHy6va$M5|m+52LD!T)FG+b3f$_OJ5MKEb&fIQ>crJ!de%h9wS_ zJzFazZgl)7Q9KzNM-qz4 zT=*N_cCpRi2{ki1yL>uh>rl~t700Ql*F5d?@}^8oT1K&a>XDis1V=i^$3qiQwpZIx zBV8l#Pz*1oI<(;12VS!8y_xvg50H}P?8UylZt620SIFdxXP(<_(F%3Zes3+UX_E;_ zHsulaBEfEHX-ceR8mjvvvQxhA>CLBs(VCYB1MNYdC z{jp@4TjJxkoTj)>EffEhV~`iOC^s~5pYg<;j@a$m>8fV?w_u0+$)%Fyc*U&;A(`-P z#0!3S%K_{&vMAml&$#K4K2tl{hwZK*VS~?leYl42@l;283$VD(wq{H#M&y6@X$3Q? z2E+7|QdzqT9=1y@Y#(2Y<*5kE8h_YI^;Nf)NDvr;(!cs6=Jsb|vRjwV-(y4n6GwXl zJMKtCSUHU7FpA%KPY&R(9w}MB|LR#PSgm=QELs?b-hGeFP-TARoGvR9?eHQh-hA;b z6=zvBvi~W;7G- zLaAGJ1V>>m#fR_*duYZ3U78R3ElpH!VuE{9R+|JtB}fva@~D z;j+|9y+Fm=@`2ub`*)j$6Mw~LJGN1nbI4%x$Kh!;rmRx$Ct6BtkT#D-oe;-c^#TZ0 z$oG9%<-*JTD=??@2dh;x`1+|K+2~aLCZRg6JHuo?e4I2gQjLS8;JkGa-p^|!KECKm)_5$COj9qWM%Cb4 zfXpraR(I{oCpC*FjjKddb@GaOYiW|aenX}IMgJG)n+Y^ymb0*f?_lZ_j{6iI;SV{& znJ~2+8k&3~;0r;IQ#m|7$+oq73d(OK3`gD*V@hBf7?$?y%Dq3+@z#KPi`&r)i=U@% zZ9L{c{003P3!b~}{7r5nar!q~FqYDhf~+48KViyEG4TnT-&ooizsJ*3itZVV%JyW{|!ayb7;tc|d@YRubSA5gz!YA1ik{A}WWgy>_=0PD{=?5LQ4H{JMylakuUCGunWb z6m)W64V=dkMN`3vFNi`QxKXM^<`dy+sF2s_{bm^}0)W~SY@~$S2BJ7HP$hTPn=Gp2 zus~#`%zEBOXs8N$wp0{X6Ia%Ly`6WF+NIxbQg284}B{nI);d2rqGVDpS3uF8%9Ntm;|9Bt0NeCY4-?ag$q2y zN&NH=jyd1iV(w=cdTt)CVhgxxBJvY-iA4T(`+Wc$#GnxW!C$RmYUtby1@IfUvQ8{i zTuz6~>fOpyTs8C-z{jR2uA#GU!g8W<*MX;|<4u$ZiHC~6(ov6b$wl6Wy96Vfxk#ds zF)QwGagf|RkVNDM;@5YNGLYgZL_rx|ogfP^J>kYiX(We^Gw4RZ)1jGkfyhDoBm_4i z2wGKya(k2c1ZYHaZ0`Pt*t*MuYzP$<9SjEGo)C)-uZx3d{HGf)11XGwM{f2?iY^6Y-}=MPjf$71TN< zz#te24b@FRLg3mHle4di%TzF!GaOY?vVBRPhmmo+MI3RsvOTAuA zi%~0wgxlz=V;ts%Yp2qS{|x^x>uJ1epqvC46#cLvhlw-;-(_MB<>5b0R|YRM2No+sBaE`!;W!A1ald= z{2OLg?r=$=kDB~!fq?r|J(9)Trd6XJ_})belK-bO1xb&==00OkyO8m@Q$KN4aIa+h zaSHMaZqM?oHVrf@9OZ_mq9b*j1#OYj351@({&7?OEm;mL-iCENQbNG71TbJ88$dn* zRl=~A$%4wszR(9KgvzV`v^)lBdpS@v+OiMsU%A8LbC3(8@wNpx&7fWZ5%h zBphce1__r3Ma<#llx7$skIi-CBpq30yH~mEF32aZVAq}^+1VcS~=>GJTLN`W#1u1Td4^5dIV59L;JSB-#bU?T^H-)+m&nx1y6o`YB$Cr)`NF>4B?xr>&GY!8n= z@}*0*7jB=;xL=h#^^JuLCS9L;4n9&?;3Gzx)@vxb zUtIg0)hZS`QZbO6`z`fBv8M=WWZ)->w*H0i=%b+-O}MSh1a#bh?h=}cz|a}l|&?-I}Q4@^MDml%YgP}A|>U}g6LkTyP8f4 zobe+lR|751M3R6f>dC77X>kH@&=RB>YRbT5j2ak($G`(v=z>8QYl(rj{%wXG4rG}| z16nt*c$)!@kk<*tsBbNV$HTR^BN5!e$~s|iZLUsyRcb+C1)BN+4hAE(H5AY8G9l{V z_Y7q8_e_W|_+9Qlan#=GU{F4^onDP!`^l0&*ug|C?*RP`1d*m8xp)E26F462e})2R zJlS(q6toY@J#lLP4(yt@0mA~2P0{!vPg4pCxasqbuYSSagcZX-r`+CG`~sJh##TjB zBe$cF-`ggKNCN-|=>A_qAr+l}D=d5OK?wxh@9>=%81NcB#31qm8@l_i*CxDhiStAuoftF2eTNX4vvj#^!mq|z@xq`w(xyFduPhXX*kN(~qbd&m2|mpO7Z3Zz!QK1<#7^E)!;(9D73Rw4XM8E~c>8M}WzO4w3wopr;Npqoeikxg9qhxf6fcSOB}#?|BJMO^{cOkQb-YV_;&mRXvPy0LU;4 zYf*Fa$_i_=4Vf>~Ycvxx)vUUSB#(anF~_ z1%*b%(E~Cx8JCihOoWcgbVMJrBE%S-abA_a5IYUumSE)}j}()xx!)~Hs$Vpv->>603kGue^zpfXik@x9Vfz`n>jCdpjTNs0rg10UpS&qixthaEN(9-5(r28`yPE zj9n>WP9U)Px6R$spQu!9KN(;jpEI9nKc=LVhtHW9L8p%U&Mmdp7kjRxn>UEoH*0Y*h#xJ3bzX@ zFdFs1NV)KW=?_e7`140j?B@^*ACjGiUx--Hs}-%1L4FXd)*10$IS*Bn~#ri|xZ8yjdcMjL0^wvn!k1`-Sy%4re;WTcfi@@XoMk*8Q(f>bR} z73H)@cEQSmXiLW3ZCcAJT?1qSpy1le=MUJFqealis2WouS z5dMPS0!z*m0q;Uok!XeZh6{Ge`fl7uyViwdlyt6W-*9fyb_>tjbKe`YL*3Memskyn z9l8&PZ*^19x3$@iqmrZ0wO8-le_n`Ke!eZ^61jS=-d)8-jx~af)!V=v z9q=&8h4NAS%{)>;JYLV6N2Do6nq%1!h@V`_jndI#`j_yu%T^#2OPOYfVWpi{FReXV zB59mv0eSgGG9^zR`}da>C#?ofa0$B6jt;-r?eZ%kY zpKNeumx>gq3%-iRH#*jRXLYDVuX+B=Vi zlEJ%FrydoT-weUMDe^||E}f*Se>t5Hhezl1Bn+eqD<*NoOio=0Aow&>HliiuW{t>j zz40CFWc~!^!09@^U3<~?66p(5Gy)X3|2c#kHkOMt6M zS&}51bnob7pW3BetJO79_FKpVOfUwv_H9+5@o(Wl$2}*!u^D~4f4CY{Y?Ritx6{m& zHBPQ>7Snz!+jrJ-yirmC9!3&WuX124d3JD_Rvz~$LsWRmqPF>Gf{Uvi+thP?$<{v&LBuaFY{WFt z?!hkWkV5qa;~MREG;TIm>fK``IenUGc?Is@&N~H`q}_VvzAx0qOAEUb-kPF$L!6jx zPt-1*<$%nEaPa#6$81fVjq?IXX9)9?$&?oV(n0=T{Y1jnj{i$`us4ZKhIvLXsOGln zN1!?WV$q<9G}zoG{T`aRt3-`~J1}=iZeXhix7ia`)y%-+Qr>fLAu;9WBc#XqcGTm$c*6xJFFZ&Jk z7yqh3{v&5wVC1|3()s@%5uXoGOhlWd>+!TgTU7sCV-|s$dSur+#~@T7j|*`i%_No_ zoed4O-3%`P^pQ<}yx)ETTBH@)Q0h7hV~^|@jb9=Em6B%6%q6r)G79~uG4-0>mpJqF z^&zU*ME`3iU$U?>`2H^DqUz>2!}XRyNZ^K(9c?D{TwpmoW`w`ZqmqZ4G!*;tNhCO6 zh4lFaYl%y^$*dK(t^o*zzyd(GG1-=wC0G8h`t(jKH`wKH2qZOgs> z_)@URsbF}z39plAU6Nv;DRkvU06gj(Z(*Ct0;Q1xNoNqwqKD zB3izOZb8d5ZPPwD89RRJ=%?T*{{+qFvEw|b+d~t@dNi5oOpo;rcKS=)P0#C`@TjBx zs2-ulM~P_z7kKIqy4?Sis!A6PV&_eq@?TLDZHGpAFS+zC6cN4qYuM92z|!d^(^w3M z`6(vjK8i>FeGcAQe2Rf2X#XbtXRjOcL2M3fNO3=>#pf0Y*I1UsB<;U(n^aGPu$x~s5J9YiR$9yv ztDjfZO?X}_a(r?S9cz<{P7b=&b5{N0Bwkv65kmaXc6h?i`&NRL3%w-saiY!gR`Ad+ z@G7gCL@6zAl|*OuPsoTs0|RlXj) zWb$awQ;~ANVpqBbK3AJKTk3s@S6`~nobSDRyxRh|A|#X4Dcje1>#{#Nj4x7u z;vZ*tZ%Z9|?7cdLKV}Q}IYg0amPz(8L-J+@GpPTuxsw^w2M7eih6$dy{BY*#X5eK5yv@d%b)!_ zwp7?mj<(Ru+tZ742DLFQ?g`Ql*!vf^K1zl1##i4v3L~FRl*FA@gh~x%;M*0gmX^Mq zpy@4K5^82qenq8(6{T6ocl4;`eo-j(tk$P?+CBRUuhr?Vg^^qqa-wm>+j@D{Dt!(b zP72u_h1^>ICo~Al_u^|E;bW@0qAFs3R_aTjb8sCIKVf!)3y7V9i-I39t}`=JdRkWjgE)KtLgh7YL?z)S-qHX#A~iTTySY@Z*qV%6)-pEeE3yk zkMw{O_|y!&O6JoX4e!&_gi6li**x56=mPODeNXR0Yrc|Vo6J11vpeTa;$vtr9c2Qr zVH7l9>`_p5KWy*FR}k4R?FB?wTQc6-rRDU}+a-5n_2G$S$SA5~x_Uuum*D~~ti3vN z4qly8>;bamKy=!vWUM-^apW8n(Xh0V=F7Mgl=OBHoJND4+<2}4yj}VTbqkP_i;hywrs0002~V+Uww3xIai z1T>^3pdmE@4XFue=&dQ|QV}tsOa|2|@A%Yok87cEMjr6AcmJ$TLtz}pMf8WM8X=Uk zJideMS@QxqogkbcoaGGJW5$GrE^+VBt*@X{S~_MdbQLbB2)E**=peW$3ZBIJuZmi2 ztK##yq&+$9bZ_sM+|%^tXx#Q!279`3k^KT3p&i#gr6~x->CnwKO;;{4b{rS4+SfEW zzPHbp6JBJ$09VC<(U)n$FA-vU*KJ#b?-N~`E?;2mIIeVQ^01eH)AWmJ!miyI(9Q!p z^Si|Fr71^iIYi4*-L^GOIZbR2r%F?fj{?!|bD9p7CP{Y7^IXFEJO;`Es2^f$KL0SE z=8`5(o*z6-q-x>Caeop!0#2ggWK|p!;KXrJ6|o(2n%EwWohF|!^%3UnP+~Ne2zwr< z=|E{(W)j(|YWjeTUIC0Vxb}VCS(%;TU>!$Pv&ARe`DowtGm4^a28)ez^ zb2=Mm#a+8Meky^-!_hfD2p36I>1H)+h^o0JRju_T04c5YdZ6u?)5P|0rEiXWEMVP^IH82zG4ewO*~Z^Mx8Z3*YHG;wRE{ z_F#5TfF8}B-v!-Q#ymXt?iBq9LRU)yhPGy>|CUWFtp zmjob)$QU9!4pUN@fUA>e?$y!k4_v6APoq&41eFUSwN_b{HIpSJD)gIazlqJ9@C8aUPk3cYoF=y8_-V3=s?4&?1D1M~ zXgyeKy^x%y1Fbd5Ds39An+*O)uG*!hDgu(G9Q#?z2h1$XX6;r2epAD7WEx4a6NrSq zpC%CS_{CE}oAR019yQ(n{HO_16;Yu^vT`wsv`&>VtLaFj9yw~g7?@xv@Fhx2U8a)% zpkq5ulBQ5q+L&sDZmkK_>kkE=JaDKqVO&yKZr6^ea)~I3fY6vMZHzI45^zj!RSnh) z)2=bCYB&ooiSP-f+d%x_sL2*dJ(C-A9>l?ur*!uEd}G&|%BR?JX89zEbvY97<64vQ z5hXxYS?g-mt~=oif+$fbk>E739VbYW+e=I-(tf^8lQ;9skkfRiG|5Q~R}B|FELv68 zO2Z2BE*b)X>q!Y)iv*?=g{mbG)v%K2>p*<- z3i(LuR}zmOM7B_Ns-2@v^s0^4qW?_bJt}?GQ_*;==G3JLUe+Y&Q04O3;A{`aPt&p% za7^FS-Oi+F_mS}_mxoGIn$oBjCDTQg15||&^L}@ZQ%cENYrQJlv4AOoBJ#U5wa?K< z_@D~%G|eQ$d?ebj-HdV35ryP5u{|6=O`u-1D816&2C4E?)Usnv(?QZ?1!W4rDMNq? z0u4=yPT0*mus+=*3DG@gk2DQ4j+im(sT_cb)D11j%S-DpZ1b-ntsW3 z9Nr(iqKZw^jq8jZ2ii2j@%Iy4WPgo6n5Nui>{z