From 432e4d0440ff0f86b4614cc6b8f832aa74e23211 Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Sat, 2 Apr 2022 19:45:58 +0800 Subject: [PATCH] update --- README.md | 47 ++++---- RTL/hard_png.sv | 237 ++++++++++++++++------------------------- RTL/huffman_builder.sv | 71 +++++++----- RTL/huffman_decoder.sv | 27 ++--- SIM/tb_hard_png.sv | 36 ++++--- figures/diagram.png | Bin 13371 -> 13048 bytes figures/interface.png | Bin 26918 -> 27038 bytes figures/wave1.png | Bin 13168 -> 15430 bytes figures/wave2.png | Bin 34198 -> 33393 bytes 9 files changed, 194 insertions(+), 224 deletions(-) diff --git a/README.md b/README.md index d4396eb..7141f13 100644 --- a/README.md +++ b/README.md @@ -10,7 +10,7 @@ Hard-PNG | ![diagram](./figures/diagram.png) | | :----: | -| 图1 : Hard-PNG 原理框图 | +| **图1** : Hard-PNG 原理框图 | @@ -34,37 +34,39 @@ png 图像文件的扩展名为 .png 。以本库中的 SIM/test_image/img01.png # 使用 Hard-PNG -RTL 目录中的 hard_png.sv 是一个能够输入 png 码流,输出解压后的像素的模块,它的接口如图2所示。 +RTL 目录中的 hard_png.sv 是一个能够输入 png 码流,输出解压后的像素的模块,它的接口如**图2**所示。 | ![接口图](./figures/interface.png) | | :----: | -| 图2 : hard_png 接口图 | +| **图2** : hard_png 接口图 | -hard_png 的使用方法很简单,在输入一张 png 图像的码流前,先要给模块复位(令 rstn=0 至少一个时钟周期),然后解除复位(令 rstn=1),然后输入 png 码流,并从图象基本信息输出接口和像素输出接口中得到解码结果。 +## 输入码流 -以 SIM/test_image/img01.png 为例,我们应该以图3的时序把 png 码流中的98个字节逐一输入到 hard_png 中。其中 ivalid 和 iready 构成了握手信号: ivalid=1 时说明外部想发送一个字节给 hard_png。iready=1 时说明 hard_png 已经准备好接收一个字节。只有 ivalid 和 iready 同时=1 时,ibyte 才被成功的输入 hard_png 中。 +hard_png 的使用方法很简单,以 SIM/test_image/img01.png 这张图像为例,如**图3**,在输入一张图象的码流前,先要令 istart 上产生一个高电平脉冲(宽度至少为一个时钟周期),然后通过 ivalid 和 ibyte 信号来输入码流(这张图象的 png 码流有 98 字节,这 98 字节都要逐一输入给 hard_png),其中 ivalid 和 iready 构成了握手信号: ivalid=1 时说明外部想发送一个字节给 hard_png。iready=1 时说明 hard_png 已经准备好接收一个字节。只有 ivalid 和 iready 同时=1 时握手才成功,ibyte 才被成功的输入 hard_png 中。 | ![输入时序图](./figures/wave1.png) | | :----: | -| 图3 : hard_png 的输入波形图 | +| **图3** : hard_png 的输入波形图 | -在输入的同时,解压结果从模块中输出,如图4。在一帧图象输出前,newframe 信号会出现一个时钟周期的高电平脉冲,同时 colortype, width, height 有效。其中: +当一张 png 图象的码流输入结束后,可以立即或稍后输入下一张图像(也就是让 istart 上产生高电平脉冲,然后输入码流)。 -- width, height 分别为图象的宽度和高度 -- colortype 为 png 图像的颜色类型,含义如下表。 +## 输出图像信息和像素 -| colortype | 3'd0 | 3'd1 | 3'd2 | 3'd3 | 3‘d4 | +在输入码流的同时,这张图象的解压结果(也就是图像基本信息和原始像素)会从模块中输出,如**图4**,在图象的像素输出前,ovalid 信号会出现一个时钟周期的高电平脉冲,同时 colortype, width, height 会有效。其中: + +- width, height 分别是图象的宽度和高度 +- colortype 是 png 图像的颜色类型,含义如下表。 + +| colortype 值 | 3'd0 | 3'd1 | 3'd2 | 3'd3 | 3‘d4 | | :-------: | :--: | :--: | :--: | :--: | :--: | | 颜色类型 | 灰度 | 灰度+A | RGB | RGB+A | 索引RGB | | 备注 | R=G=B,A=0xFF | R=G=B≠A | R≠G≠B,A=0xFF | R≠G≠B≠A | R≠G≠B,A=0xFF | -然后,ovalid=1 代表该时钟周期有一个像素输出,该像素的 R,G,B,A 通道分别出现在 opixelr,opixelg,opixelb,opixela 信号上。 +然后,ovalid=1 代表该时钟周期有一个像素输出,该像素的 R,G,B,A 通道会分别出现在 opixelr,opixelg,opixelb,opixela 信号上。 | ![输出时序图](./figures/wave2.png) | | :----: | -| 图4 : hard_png 的输出波形图 | - -当一个 png 图象输入结束后,可以立即或稍后输入下一张图像(复位->解除复位->输入码流)。 +| **图4** : hard_png 的输出波形图 | @@ -79,7 +81,7 @@ hard_png 的使用方法很简单,在输入一张 png 图像的码流前,先 使用 iverilog 进行仿真前,需要安装 iverilog ,见:[iverilog_usage](https://github.com/WangXuan95/WangXuan95/blob/main/iverilog_usage/iverilog_usage.md) -然后双击 tb_hard_png_run_iverilog.bat 运行仿真,会运行大约半小时(可以中途强制关闭,但产生的仿真波形就是不全的)。 +然后双击 tb_hard_png_run_iverilog.bat 即可运行仿真,会运行大约半小时(可以中途强制关闭,但产生的仿真波形就是不全的)。 仿真运行完后,可以打开生成的 dump.vcd 文件查看波形。 @@ -120,18 +122,19 @@ total 400 pixels validation successful!! | FPGA 型号 | LUT | LUT(%) | FF | FF(%) | Logic | Logic(%) | BRAM | BRAM(%) | | :----------------------------: | :--: | :----: | :--: | :---: | :---: | :------: | :-----: | :-----: | | Xilinx Artix-7 XC7A35T | 2581 | 13% | 2253 | 5% | - | - | 792kbit | 44% | -| Altera Cyclone IV EP4CE40F23C6 | - | - | - | - | 4551 | 11% | 427kbit | 37% | +| Altera Cyclone IV EP4CE40F23C6 | - | - | - | - | 4682 | 11% | 427kbit | 37% | ## 性能 在 Altera Cyclone IV EP4CE40F23C6 上部署 hard_png ,时钟频率= 50MHz (正好时序收敛)。根据仿真时每个图像消耗的时钟周期数,可以算出压缩图像时的性能,举例如下表。 -| png文件名 | 颜色类型 | 图象长宽 | png 码流大小 (字节) | 消耗的时钟周期数 | 消耗时间 | -| :-----------: | :----------: | :----------: | :--------------: | :---------------: | :---------------: | -| img05.png | RGB | 300x256 | 96536 | 1105702 | 23ms | -| img06.png | 灰度 | 300x263 | 37283 | 395335 | 8ms | -| img10.png | 索引RGB | 631x742 | 193489 | 2374224 | 48ms | -| img14.png | 索引RGB | 1920x1080 | 818885 | 10177644 | 204ms | +| 文件名 | 颜色类型 | 图象长宽 | 像素数 | png 码流大小 (字节) | 时钟周期数 | 消耗时间 | +| :-----------: | :----------: | :----------: | :--------------: | :---------------: | :---------------: | ------------- | +| img05.png | RGB | 300x256 | 76800 | 96536 | 1105702 | 23ms | +| img06.png | 灰度 | 300x263 | 78900 | 37283 | 395335 | 8ms | +| img09.png | RGBA | 300x263 | 78900 | 125218 | 1382303 | 28ms | +| img10.png | 索引RGB | 631x742 | 468202 | 193489 | 2374224 | 48ms | +| img14.png | 索引RGB | 1920x1080 | 2073600 | 818885 | 10177644 | 204ms | diff --git a/RTL/hard_png.sv b/RTL/hard_png.sv index 60e3bf5..f38ef22 100644 --- a/RTL/hard_png.sv +++ b/RTL/hard_png.sv @@ -10,11 +10,12 @@ module hard_png ( input wire rstn, input wire clk, // png data input stream + input wire istart, input wire ivalid, output reg iready, input wire [ 7:0] ibyte, // image frame configuration output - output reg newframe, + output reg ostart, output wire [ 2:0] colortype, // 0:gray 1:gray+A 2:RGB 3:RGBA 4:RGB-plte output wire [13:0] width, // image width output wire [31:0] height, // image height @@ -23,11 +24,9 @@ module hard_png ( output wire [ 7:0] opixelr, opixelg, opixelb, opixela ); -initial newframe = 1'b0; +initial ostart = 1'b0; initial ovalid = 1'b0; -reg imagevalid = '0; - reg isplte = '0; reg [ 1:0] bpp = '0; // bytes per pixel @@ -88,7 +87,9 @@ generate genvar ii; if(~rstn) latchbytes[ii] <= '0; else begin - if(ivalid) + if(istart) + latchbytes[ii] <= '0; + else if(ivalid) latchbytes[ii] <= lastbytes[ii+1]; end end @@ -134,7 +135,7 @@ wire parametervalid = ( lastbytes[7]==8'h0 && ); always_comb - if(imagevalid && cnt>0 && curr_name==IDAT && gapcnt==2'd0) begin + if(cnt>0 && curr_name==IDAT && gapcnt==2'd0) begin iready = pready; pvalid = ivalid; pbyte = ibyte; @@ -152,7 +153,6 @@ always @ (posedge clk or negedge rstn) gapcnt <= '0; busy <= 1'b0; sizevalid <= 1'b0; - imagevalid <= 1'b0; curr_name <= NONE; ispltetmp <= 1'b0; bpptmp <= '0; @@ -164,18 +164,38 @@ always @ (posedge clk or negedge rstn) ppr <= '0; bpr <= '0; rpf <= '0; - newframe <= 1'b0; + ostart <= 1'b0; plte_wen <= 1'b0; plte_waddr <= '0; plte_wdata <= '0; plte_bytecnt <= '0; plte_pixcnt <= '0; end else begin - newframe <= 1'b0; + ostart <= 1'b0; plte_wen <= 1'b0; plte_waddr <= '0; plte_wdata <= '0; - if(ivalid) begin + if(istart) begin + bcnt <= '0; + cnt <= '0; + crccnt <= '0; + gapcnt <= '0; + busy <= 1'b0; + sizevalid <= 1'b0; + curr_name <= NONE; + ispltetmp <= 1'b0; + bpptmp <= '0; + pprtmp <= '0; + bprtmp <= '0; + rpftmp <= '0; + isplte <= 1'b0; + bpp <= '0; + ppr <= '0; + bpr <= '0; + rpf <= '0; + plte_bytecnt <= '0; + plte_pixcnt <= '0; + end else if(ivalid) begin plte_bytecnt <= '0; plte_pixcnt <= '0; if(~busy) begin @@ -190,7 +210,6 @@ always @ (posedge clk or negedge rstn) cnt <= cnt - 1; gapcnt <= 2'd2; if(cnt==6) begin - imagevalid <= 1'b0; rpftmp <= l32bit; if(h32bit[31:14]=='0) begin sizevalid <= 1'b1; @@ -211,15 +230,13 @@ always @ (posedge clk or negedge rstn) endcase end else if(cnt==1) begin if(sizevalid && parametervalid && (bprtmp[15:14]==2'd0)) begin - newframe <= 1'b1; - imagevalid <= 1'b1; + ostart <= 1'b1; isplte <= ispltetmp; bpp <= bpptmp; ppr <= pprtmp; bpr <= bprtmp[13:0]; rpf <= rpftmp; end else begin - imagevalid <= 1'b0; isplte <= 1'b0; bpp <= '0; ppr <= '0; @@ -230,7 +247,7 @@ always @ (posedge clk or negedge rstn) end else if(curr_name==IDAT) begin if(gapcnt>2'd0) gapcnt <= gapcnt - 2'd1; - if(imagevalid && gapcnt==2'd0) begin + if(gapcnt==2'd0) begin if(pready) cnt <= cnt - 1; end else begin @@ -291,36 +308,26 @@ always @ (posedge clk or negedge rstn) // uz_inflate //----------------------------------------------------------------------------------------------------------------------- -reg end_stream = '0; +reg end_stream = '0; wire huffman_ovalid; wire [7:0] huffman_obyte; -reg raw_ovalid; -reg [7:0] raw_obyte; -reg raw_mode = 1'b0; -reg raw_format = '0; - -reg [ 2:0] status = '0; -reg [15:0] rcnt = '0; reg [ 2:0] uz_cnt = '0; reg [ 7:0] rbyte = '0; -reg tvalid; -wire tready; -reg tbit; +reg tvalid; +wire tready; +reg tbit; always @ (posedge clk or negedge rstn) if(~rstn) begin mvalid <= 1'b0; mbyte <= '0; end else begin - if(~imagevalid) begin + if(istart) begin mvalid <= 1'b0; mbyte <= '0; - end else if(raw_mode) begin - mvalid <= raw_ovalid; - mbyte <= raw_obyte; end else begin mvalid <= huffman_ovalid; mbyte <= huffman_obyte; @@ -328,98 +335,33 @@ always @ (posedge clk or negedge rstn) end always_comb - if(~imagevalid) begin - raw_ovalid = 1'b0; - raw_obyte = '0; - pready = 1'b0; - tvalid = 1'b0; - tbit = 1'b0; + if(uz_cnt==3'h0) begin + pready = tready; + tvalid = pvalid; + tbit = pbyte[0]; end else begin - raw_ovalid = 1'b0; - raw_obyte = '0; - if(raw_mode) begin - pready = 1'b1; - tvalid = 1'b0; - tbit = 1'b0; - if(status>=3) begin - raw_ovalid = pvalid; - raw_obyte = pbyte; - end - end if(raw_format) begin - pready = 1'b1; - tvalid = 1'b0; - tbit = 1'b0; - end else if(uz_cnt==3'h0) begin - pready = tready; - tvalid = pvalid; - tbit = pbyte[0]; - end else begin - pready = 1'b0; - tvalid = 1'b1; - tbit = rbyte[uz_cnt]; - end + pready = 1'b0; + tvalid = 1'b1; + tbit = rbyte[uz_cnt]; end always @ (posedge clk or negedge rstn) if(~rstn) begin - raw_mode <= 1'b0; uz_cnt <= '0; rbyte <= '0; - rcnt <= '0; - status <= '0; end else begin - if(~imagevalid) begin - raw_mode <= 1'b0; + if(istart) begin uz_cnt <= '0; rbyte <= '0; - rcnt <= '0; - status <= '0; - end else if(raw_mode) begin - uz_cnt <= '0; - rbyte <= '0; - if(pvalid) begin - if (status==0) begin - rcnt[15:8] <= pbyte; - status <= status + 3'h1; - end else if(status==1) begin - status <= status + 3'h1; - end else if(status==2) begin - if(rcnt>0) begin - rcnt <= rcnt - 16'd1; - status <= status + 3'h1; - end else begin - raw_mode <= 1'b0; - status <= '0; - end - end else begin - if(rcnt>0) begin - rcnt <= rcnt - 16'd1; - end else begin - raw_mode <= 1'b0; - status <= '0; - end - end - end end else begin - rcnt <= '0; - status <= '0; - if(raw_format) begin - if(pvalid) begin - raw_mode <= 1'b1; - rcnt[ 7:0] <= pbyte; + if(uz_cnt==3'h0) begin + if(pvalid & tready) begin + uz_cnt <= uz_cnt + 3'h1; + rbyte <= pbyte; end - uz_cnt <= '0; - rbyte <= '0; end else begin - if(uz_cnt==3'h0) begin - if(pvalid & tready) begin - uz_cnt <= uz_cnt + 3'h1; - rbyte <= pbyte; - end - end else begin - if(tready) - uz_cnt <= uz_cnt + 3'h1; - end + if(tready) + uz_cnt <= uz_cnt + 3'h1; end end end @@ -440,8 +382,6 @@ reg srepeat = 1'b0; reg symbol_valid = 1'b0; reg [7:0] symbol = '0; -reg decoder_new = 1'b1; - reg [ 1:0] iword = '0; reg [ 1:0] ibcnt = '0; reg [ 4:0] precode_wpt = '0; @@ -484,14 +424,14 @@ reg [ 3:0] dscnt=4'h0, dsmax=4'h0; enum {T, D, R, S} huffman_status = T; -wire lentree_ien = ~end_stream & ~raw_format & tvalid & lentree_done & ~lentree_codeen & (repeat_mode==REPEAT_NONE && repeat_len==8'd0) & (tree_wpt3'd0) & (tree_wpt4'd0)) ) ) ); + ( tree_done & ~codetree_codeen & ~distree_codeen & (huffman_status==T || huffman_status==D || (huffman_status==R && dscnt>4'd0)) ) ); reg [ 8:0] lengthb= '0; reg [ 5:0] lengthe= '0; @@ -575,20 +515,17 @@ endtask always @ (posedge clk or negedge rstn) if(~rstn) begin - {raw_format, end_stream} <= '0; - decoder_new <= 1'b1; + end_stream <= '0; reset_all_regs; end else begin - if(raw_mode) begin - {raw_format, end_stream} <= '0; - decoder_new <= 1'b1; + if(istart) begin + end_stream <= '0; reset_all_regs; end else begin symbol_valid <= 1'b0; symbol <= '0; irepeat <= 1'b0; srepeat <= 1'b0; - decoder_new <= 1'b0; lentree_write(); codetree_write(); distree_write(); @@ -602,16 +539,12 @@ always @ (posedge clk or negedge rstn) end else if(precode_wpt==1) begin bfix <= tbit; end else begin - case({tbit,bfix}) - 2'b00 : - raw_format <= 1'b1; - 2'b01 : begin + if( {tbit,bfix} == 2'b01 ) begin precode_wpt <= '1; lentree_wpt <= '1; tree_wpt <= '1; fixed_tree <= 1'b1; end - endcase end end end else if(precode_wpt<17) begin @@ -777,6 +710,7 @@ huffman_builder #( ) lentree_builder ( .rstn ( rstn ), .clk ( clk ), + .istart ( istart ), .wren ( lentree_wen ), .wraddr ( lentree_waddr ), .wrdata ( lentree_wdata ), @@ -796,7 +730,7 @@ huffman_decoder #( ) lentree_decoder ( .rstn ( rstn ), .clk ( clk ), - .inew ( decoder_new ), + .istart ( istart ), .ien ( lentree_ien ), .ibit ( tbit ), .oen ( lentree_codeen ), @@ -817,6 +751,7 @@ huffman_builder #( ) codetree_builder ( .rstn ( rstn ), .clk ( clk ), + .istart ( istart ), .wren ( codetree_wen ), .wraddr ( codetree_waddr ), .wrdata ( (5)'(codetree_wdata) ), @@ -844,7 +779,7 @@ huffman_decoder #( ) codetree_decoder ( .rstn ( rstn ), .clk ( clk ), - .inew ( decoder_new ), + .istart ( istart ), .ien ( codetree_ien ), .ibit ( tbit ), .oen ( codetree_codeen), @@ -865,9 +800,10 @@ huffman_builder #( ) distree_builder ( .rstn ( rstn ), .clk ( clk ), + .istart ( istart ), .wren ( distree_wen ), .wraddr ( distree_waddr ), - .wrdata ( (5)'(distree_wdata) ), + .wrdata ( (5)'(distree_wdata) ), .run ( tree_run ), .done ( distree_done ), .rdaddr ( distree_raddr ), @@ -892,7 +828,7 @@ huffman_decoder #( ) distree_decoder ( .rstn ( rstn ), .clk ( clk ), - .inew ( decoder_new ), + .istart ( istart ), .ien ( distree_ien ), .ibit ( tbit ), .oen ( distree_codeen ), @@ -923,7 +859,10 @@ always @ (posedge clk or negedge rstn) if(~rstn) wptr <= '0; else begin - if(huffman_ovalid) wptr <= (wptr<(REPEAT_BUFFER_MAXLEN-16'd1)) ? wptr+16'd1 : '0; + if(istart) + wptr <= '0; + else if(huffman_ovalid) + wptr <= (wptr<(REPEAT_BUFFER_MAXLEN-16'd1)) ? wptr+16'd1 : '0; end always @ (posedge clk or negedge rstn) @@ -932,7 +871,11 @@ always @ (posedge clk or negedge rstn) sptr <= '0; eptr <= '0; end else begin - if(srepeat) begin + if(istart) begin + rptr <= '0; + sptr <= '0; + eptr <= '0; + end else if(srepeat) begin rptr <= sptrw; sptr <= sptrw; eptr <= eptrw; @@ -948,7 +891,7 @@ always @ (posedge clk or negedge rstn) if(~rstn) repeat_valid <= '0; else - repeat_valid <= irepeat; + repeat_valid <= istart ? '0 : irepeat; reg [7:0] mem_repeat_buffer [REPEAT_BUFFER_MAXLEN]; @@ -996,7 +939,7 @@ always @ (posedge clk or negedge rstn) nfirstrow <= 1'b0; col <= '0; end else begin - if(~imagevalid) begin + if(istart) begin nfirstrow <= 1'b0; col <= '0; end else if(mvalid) begin @@ -1013,9 +956,9 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin mode <= '0; end else begin - if(~imagevalid) begin + if(istart) mode <= '0; - end else if(mvalid && col==14'h0) + else if(mvalid && col==14'h0) mode <= mbyte[2:0]; end @@ -1027,7 +970,7 @@ always_comb 3'd3 : fdata = mbyte + SSdata[8:1]; default: fdata = mbyte + paeth( (nfirstcol ? LLdata : 8'h0), (nfirstrow ? UUdata : 8'h0), - (nfirstrow&nfirstcol ? ULdata : 8'h0) ); + (nfirstrow & nfirstcol ? ULdata : 8'h0) ); endcase always @ (posedge clk or negedge rstn) @@ -1035,7 +978,7 @@ always @ (posedge clk or negedge rstn) bvalid <= 1'b0; bbyte <= '0; end else begin - if(~imagevalid) begin + if(istart) begin bvalid <= 1'b0; bbyte <= '0; end else begin @@ -1052,7 +995,7 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin mem_sr_currline[0] <= '0; end else begin - if(~imagevalid) begin + if(istart) begin mem_sr_currline[0] <= '0; end else if(mvalid) mem_sr_currline[0] <= fdata; @@ -1063,7 +1006,7 @@ generate genvar isrcl; if(~rstn) begin mem_sr_currline[isrcl+1] <= '0; end else begin - if(~imagevalid) begin + if(istart) begin mem_sr_currline[isrcl+1] <= '0; end else if(mvalid) mem_sr_currline[isrcl+1] <= mem_sr_currline[isrcl]; @@ -1080,7 +1023,7 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin mem_sr_prevline[0] <= '0; end else begin - if(~imagevalid) begin + if(istart) begin mem_sr_prevline[0] <= '0; end else if(mvalid) mem_sr_prevline[0] <= UUdata; @@ -1091,7 +1034,7 @@ generate genvar isrpl; if(~rstn) begin mem_sr_prevline[isrpl+1] <= '0; end else begin - if(~imagevalid) begin + if(istart) begin mem_sr_prevline[isrpl+1] <= '0; end else if(mvalid) mem_sr_prevline[isrpl+1] <= mem_sr_prevline[isrpl]; @@ -1111,7 +1054,7 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin sb_lidata <= '0; end else begin - if(~imagevalid) begin + if(istart) begin sb_lidata <= '0; end else if(mvalid) sb_lidata <= fdata; @@ -1121,7 +1064,7 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin sb_ptr <= '0; end else begin - if(~imagevalid) begin + if(istart) begin sb_ptr <= '0; end else if(mvalid) begin if(sb_ptr < (bpr-14'd1)) @@ -1136,7 +1079,7 @@ always @ (posedge clk or negedge rstn) sb_ldata <= '0; sb_rvalid <= 1'b0; end else begin - if(~imagevalid) begin + if(istart) begin sb_ldata <= '0; sb_rvalid <= 1'b0; end else begin @@ -1177,7 +1120,7 @@ always @ (posedge clk or negedge rstn) {pr, pg, pb, pa} <= 0; end else begin ovalid <= 1'b0; - if(newframe) begin + if(istart | ostart) begin pixcnt <= '0; {pr, pg, pb, pa} <= 0; end else if(bvalid) begin diff --git a/RTL/huffman_builder.sv b/RTL/huffman_builder.sv index eccc8d9..ab69075 100644 --- a/RTL/huffman_builder.sv +++ b/RTL/huffman_builder.sv @@ -12,6 +12,7 @@ module huffman_builder #( parameter OUTWIDTH = 10 ) ( rstn, clk, + istart, wren, wraddr, wrdata, run , done, rdaddr, rddata @@ -25,6 +26,7 @@ endfunction input rstn; input clk; +input istart; input wren; input [ clogb2(NUMCODES-1)-1:0] wraddr; input [ CODEBITS -1:0] wrdata; @@ -33,18 +35,19 @@ output done; input [clogb2(2*NUMCODES-1)-1:0] rdaddr; output [ OUTWIDTH-1:0] rddata; -wire rstn; -wire clk; -wire wren; -wire [ clogb2(NUMCODES-1)-1:0] wraddr; -wire [ CODEBITS -1:0] wrdata; -wire run; -wire done; -wire [clogb2(2*NUMCODES-1)-1:0] rdaddr; -reg [ OUTWIDTH-1:0] rddata; +wire rstn; +wire clk; +wire istart; +wire wren; +wire [ clogb2(NUMCODES-1)-1:0] wraddr; +wire [ CODEBITS -1:0] wrdata; +wire run; +wire done; +wire [clogb2(2*NUMCODES-1)-1:0] rdaddr; +reg [ OUTWIDTH-1:0] rddata; -reg [clogb2(NUMCODES)-1:0] blcount [BITLENGTH]; -reg [ (1<0; - treepos <= ntreepos; - tpos <= ntpos; - lii <= ii; - lnn <= nn; + if(istart) begin + valid <= '0; + treepos <= '0; + tpos <= '0; + lii <= '0; + lnn <= '0; + end else begin + valid <= build_tree2d & nn0; + treepos <= ntreepos; + tpos <= ntpos; + lii <= ii; + lnn <= nn; + end end always @ (posedge clk or negedge rstn) if(~rstn) blen <= '0; else begin - if(islast) blen <= blenn; + if(istart) + blen <= '0; + else if(islast) + blen <= blenn; end always @ (posedge clk or negedge rstn) @@ -96,7 +110,7 @@ always @ (posedge clk or negedge rstn) for(int i=0; i= (clogb2(2*NUMCODES-1))'(2*NUMCODES-1) ) clear_tree2d <= 1'b1; @@ -153,12 +174,6 @@ always @ (posedge clk or negedge rstn) build_tree2d <= 1'b1; end end - end else begin - ii <= '0; - idx <= '0; - build_tree2d <= 1'b0; - clearidx <= '0; - clear_tree2d <= 1'b0; end end @@ -177,7 +192,9 @@ always @ (posedge clk or negedge rstn) if(~rstn) begin nodefilled <= '0; end else begin - if(~run) + if(istart) + nodefilled <= '0; + else if(~run) nodefilled <= (clogb2(2*NUMCODES-1))'(1); else if(valid & rdfilled & lii>0) nodefilled <= nodefilled + (clogb2(2*NUMCODES-1))'(1); diff --git a/RTL/huffman_decoder.sv b/RTL/huffman_decoder.sv index c864925..706ef31 100644 --- a/RTL/huffman_decoder.sv +++ b/RTL/huffman_decoder.sv @@ -10,7 +10,8 @@ module huffman_decoder #( parameter OUTWIDTH = 10 )( rstn, clk, - inew, ien, ibit, + istart, + ien, ibit, oen, ocode, rdaddr, rddata ); @@ -22,22 +23,22 @@ function automatic integer clogb2(input integer val); endfunction input rstn, clk; -input inew, ien, ibit; +input istart, ien, ibit; output oen; output [ OUTWIDTH-1:0] ocode; output [clogb2(2*NUMCODES-1)-1:0] rdaddr; input [ OUTWIDTH-1:0] rddata; -wire rstn, clk; -wire inew, ien, ibit; -reg oen = 1'b0; -reg [ OUTWIDTH-1:0] ocode = '0; -wire [clogb2(2*NUMCODES-1)-1:0] rdaddr; -wire [ OUTWIDTH-1:0] rddata; +wire rstn, clk; +wire istart, ien, ibit; +reg oen = 1'b0; +reg [ OUTWIDTH-1:0] ocode = '0; +wire [clogb2(2*NUMCODES-1)-1:0] rdaddr; +wire [ OUTWIDTH-1:0] rddata; -reg [clogb2(2*NUMCODES-1)-2:0] tpos = '0; -wire [clogb2(2*NUMCODES-1)-2:0] ntpos; -reg ienl = 1'b0; +reg [clogb2(2*NUMCODES-1)-2:0] tpos = '0; +wire [clogb2(2*NUMCODES-1)-2:0] ntpos; +reg ienl = 1'b0; assign rdaddr = {ntpos, ibit}; @@ -47,13 +48,13 @@ always @ (posedge clk or negedge rstn) if(~rstn) ienl <= '0; else - ienl <= inew ? '0 : ien; + ienl <= istart ? '0 : ien; always @ (posedge clk or negedge rstn) if(~rstn) tpos <= '0; else - tpos <= inew ? '0 : ntpos; + tpos <= istart ? '0 : ntpos; always_comb if(ienl && rddataAP{L&MP0F?5Lt zeEh!WdEfuu{l~e^+51}SzE@oPTKlZsZ2QMLN;^G4X1Io(EAQ9MXBW0)it51fpyz!pqC+ z z;^HX1E3G ztz-!GRr$9=(yfY0UB1+)6??7G_8CEFH(^vV+T+!O4{N$b=geo+F zV{JyLBubLA84v5`Qyoh_X!PJ z;krSx@$WI(!%ug}SEc3!G6_*K5exPDOp%Md;){VqT(@*qXzwy{+J9RpE6yM*Gn7D; zB3PenB>4-E#Kylb6Y^KnIO~Q(=tNdfS6hT(vzl5}cBXXaXwFYm50T?lcuvHU4nCK^ zp^$V&-;3pdbbWAL>SeRCWoQeAVhx9YI`U--pihF4i*2?O3T*u%=V7aP+-j2frPVK> z*hIAh&LO3q%Yq!dwu}KV41-rtcUqC{!pm>|p6>?qU+J9Y(ivOcWC`;4)$^F(BQdM~ zb-?e^w3k-!GA1+q-<1K!^p$Y7`7mZl{EibWuh^e(Xcyj) zup5rna$SOiSVANNhC}XwL|0IjIQKGL7)SZg@ z|H%;-WC&AILa6EMZOK9lb39D7SI6Jd>u!Na8(0*QU(z(;B6ur<#9BP7uW^A4m}>c=!~{*2h7L z)q4I!Qr(l_X4y|zGcWkzrPgU1c9T7LL60F?(E*<*(p*Dd9y$+RiX$m#apP$0V^rT(mIYyr^C~Ysi`iUShvY5>g zS7mT=;BE|H3bx6a5Wn}DI|TVU7K|U#q#pYUkY)@oFiO%I&zw5RPgL~&0KF{sYHeyw zw8{z#sjJ`pSpPU|Is6QS@*Hlk8@XSWsQj(}G#0^hXi~M&1&459AE5=c169|D)gK|@ zh~1Lz2cJ%G=8bwJn_GhnjJ=AjmDHjrj-B0d+8&E}uzPt+X+={-DHEo{l?(*PH|IWoxdDI zL+j*+H)!ib$sy10if>%Uzsj3N9hFrm%FBA_sdxMjD^DxodVSP@I=?3)*BW+p;;-W} z%I}*9mbIF+k8R#szZ_p_#Pjjah@Uw+x9+FqpDWpa=cp*lx&l)GVMnw4}}q->ymn&mE@6d8(*o12rAZcuRY*yz&oR+Lrd))z8ahaA-rr<|< zB@G1aC^+mr3a92xc!4B|RezE$AOic4V|?Z1MCNwPbzJuGS}?BAqKNY8S@5;DT7kXJ-M%gk22w{&m zjEQ%8VNLvoR*epCqVR5rPm^SeSpu9N%sz@69|IStZ`QrD0t6}(Zq!Zqun5+fg50w= zLBfo}9gA1DegR#TITMz6Kl)^!oyNC%Tx&ANbcsSq;4CB3>``2^HKlt--_>=-tHu;! zeP!3MVyO~?#tdi@jKbP%)0p~(8y{SN?D_qNUx6&Q9{$XjiJ zh*DRFi_kQ$i60-w3))@)2ByV@~Z%3YINVNnP!;Dy9(!at*1Gg3LC$S>jYBy@e!-`55($jEf|4J zO-=YXKXAtbQaGlH;R`-WN_)eX0ADfrv*-OE*Q3X=gvmyRp`-#s0)sX^#wZ@bw=n%I zyx!F^ZUfNiZ#Pdgtf2HcScWSyLcUiucJYR_#NPIWgw_SBRzi&EP{=DN3L(@_UvL;|7zg=5P7YoK*X4xaH1&)+sJ`Yei_Ow!+uk3`A6@&1JIU7PyoyI$dOhrRm{%RP)X z4G~t%uyW>+&?VoZ)uSeb_q{@l3r7gDax@k{MV^+Mfn6MVIGpe%^$_%a8?=n^q!U_2 z`ED^5&g=qDVOyg|yOt7kTvYc<|C06j`C5>emr@}$Bkjb6`iIDw(1pu3j?c4OG$$)x zTS;T@b1mG@no7tk=|)el;B2odqw=lB+%jj&bZ;@b#sTnSqkZOlp$*SSw}bT8C-x5f zK(F*lwP^gemGneBGyExjfKSI(6i@^+;kHx==tH5<0HJj5tQ|WUS}Y zB1h3O3GiS&B3#zX=w1J(1PyaxaP$j3f^QJcODZ+v{v_U?ih(Jv;;mIHS%2fmBjQDD zA@xKb7{E991`~a6#hdeZzGSa(J+|GvxU@4E6rn1#-sgGpPC{IlH0@WxgonWVU-%G9 zU1BGSB)G+}UD@mIq}Auyx}#VZbeAd|ex$Uc838{@kb8r-_I>c*G(N}jD?1Ld?!2JU zHn)q;yE8jX!I(z;c%+t?uOdN(jupU|{KO-m15;(D=)@IE#)Z*q(fGgDo$RZR`d zWunP^Rn-v8WKzXLG(kAGZ;k6#W-y!kAI!~OcEU;z-c5^BV!LyN^#XrodDY(({8{q-6TF;*9?d(<#%5WGT!@sLmiIxu}$Bbr?pQS7Mdun0c0Jj7 zIz>@gkhy6Fx;-r0#O^w*Lr8^7l(V1kvB64NIn~#L%Z&i3;)yHf9>?HpR5qqj>!(@W zIIr8X%LQABu^PtxBfD(l&_UMj{(&cYeEd3qU0>+W^z4n_EtTSwZ|uOZa~~M@j^>0l zFPzD3I)HKU564AZ-AZIkV7OU0rD*)^ENN5@uK6_Ap$^SsZBa1%N`mpT%xU+O2>pj} z8!t9f^;8pIJr(p*KEy2}O=ga(Yg#JHTQ|{wTlWbIQ*_jAYH{>W3_3&#+=7++PrPvL zM};le;U`$Q5D?%L~|25?^22gr@d0IaJFC`aHz$+ySQroXNtLR zy3VEbPe&)~UGJJ>Zp&=C>CwUS4rqw3D+Q|D{Kgfbv%zxpD{N@nNaNK*Tpjh#MfxPL zg1D$=Z?IF!TRY)LnafZAsy}ixG71i`&yw8xeu!YX>#));xElDW{DT<&-{YUMTVrv( zQpO$|H1+d%AJfk{_2k@G5rakaFmQEL;~$63^jlny>F+Bc6JOvZvUYR|P8EisUKJNLueT_@T ziPWf3m;~qz_eo7hZd?DBd0HzV#&} zpGk^8wQvm5PeL*~cMP7@uV3VP>I2o7Twivs^p)MbLA=^X_@TFS#;RY=-?pGKcf$MZ z;}cb%weY-|n(ac7sC%|Je-J(^>^)DAC>v+@e+pdv;=RN~gjKHa=d^8vz#-geZ0x_A z1=yBD*qSN&oYmidpi~pf_|p-q6n{a^j^JQt?;Vv{<#J`KCynhdq(E^p+n>;|oX^|Q zYdCU(90Eic4`T~n7L>NoB<%I#3xB7j@};_bB45(}nk`B)n{`Cm_d%5a8D*MGX?{ML z{9OWk>GJwt&1BMZ55K+kWLA#X`CIfY)WfKAfGBrKakTgJPqlGd7rpVpH#t*EB!232 zXAiA0k}`kC$%cv?QQHNsVKpl80*S?%IURJC0dydY=1r5hi|>R-4*NRI+bNo>M7r>B97N zveeiS_xoCl4iBPLV_L->SLlmb5}bT$;r#~Y$1xJ`o9-p*+IqqV=7Re4=Q@F@&x+YO z#Lh3*Q2CSR?ZUXF^eH#miNU@6IHR_R$NDQ;_49XgyZ^q^N0|ypTLa*9fm4Y{pv5$+UmHQ3#A_*F{vIPSD}zuOr(vg zB$CJe!xnIe@|%QvEE~lGoR5CDVT7n2aa{r!X84i;R^z#8%UOlzMUo1m1G?DYAUvqR zrF`8l{C^cOY(p>U%C3JvClQHx>1lgoxoK*)<&=qg$4`AJI+%Sgw2^~Avr*PJ!^zi0 z2j8*h;5x_Uf3Yn7|Hbr6T1W?l?*;b#0n=B4z~4K4MNl!*ES;I!|DT>DKE#*eo@hvQ z2yn~=alC(`8Nl2dorDh?GyiCJdLKgDWKf-{T0atX9>*HsrZ8J7&jSi^B z3SUX~_14J^5|Nfh&!s9+_)j}^s+I}D#$&nu5Fif@p;F3)_Hr*0U?0o{&BYTLl%A1K z(J;D&1B5P0dz`&+U+-{OqY!_N5{LP^#%J5LqKR#DP_XTr(b_ZTqq4;j+n@qp;Z0Qh zw>6~wtjXI3r{f(r71IpGeiLeyh(cX2TP6F=uXxlR4(sPpUzwi)T?*O3|G}(rmIz6& z;vnZ16QKE?X?|m^&I|@$N5el*wnV^NM@g*(KCmj`~hA@^b^0dOPLCFEiSzx zn|m$-#OL}_w0+M4FLg;%0c4P3U7s@V3bZ+U?62CrS95~`jq(mY&X}YFFN9d{@w|$C zFA_t6@^UgHizMgrxzOtV6px>Yj3mg0EYVKOBF%cexTgJn8Q#iVS`2Vb{T*JqYH4{C z?go>3iAiC1Gxj?|*8B1sQ&=xU%fOdO3*vCO8A5L=wBT&z(5&Cx`L{y>%aM;h&JKV{ zTXgGmkIQ$yyaK-C4^cnI)^JFj6Le*CN1!hNnc!RIn!Sx|k;|^Vn@=u*$&;Yoeu=Pt zGZAMALmqvGAW-C8m<1oa!pV~vj2XDa4$+d|q>U-Ge_Qus&dc!49S`8AkS#2p*ntvO z9Ok&!eoDx)OjQ(oFH~~Nwf8JIOdj&Ha6h?5v$$$L4d z#*>;s`GsvSy#HYZjzF;i>t{dmmKO1Og^lBUNDKZK&Fnw4D;=J9^Do>fbR)`69*g)d z?x)hOO(OL?45Yw|A><}9II{BIlzj(jPJR0lZ~g2N6I=@Y_GL4@aahh=rafXwWcd|r zrZ+gTJwPn~6Pu8JbICm^toRbK>#dRnlhGT{@nBKygA~8T2y_JXBln02!5{P+nZe+l zGCO>GhZo)lDC*Xg>!oR~2W$!3r_Mp#vbi<6UMB)!Mpa74I)}NP$LdgNR?&CYnst z!A9A=Mj~(PR`_oNYy+7g+Dzj-^_K&*3$cjg68RtS@VJuY_&@P+#BJ?_!*-y@G*Rd; z=NMzmSCz0VxCjy@gu&7uxH6vKXq`b}_G0hSD{&>ro$AqUX;((Gl15WRDjnS3#a@;iJ)Atb4@qz>ai?h+6Jcn44^k3VXgeG}et z+*TKLi1xDI;ZG~=gf0GQYme6LyLEi_!121@C@B{1f%hU8wga&OV!??`$Dn6=tXLM)uJYdk0Ww?6vL<~fbiPM-x3x;Mc_ zBDshuF_0;_Xw)VR+j^y<>(V3yt|0;8?UUfbf4UF{TYMe^1q(JG7dk8dh%Bd(`k1(h zHWZ_MOeeiNK$&STPSBbK&w^*HJNjfgbxU1k*R3D!*~14Z4&7&*2eHNWunftU%&YOW z`!E5NDapUCe^A*RgPcyjCO?Ey)RW^8(|`oN>IQ)}Y((KIm~X>wT9gnL5P#a_%T2IZ z_G>Sba~jqPG6Nef>*?)V*UHoV{0R3MPB-sGSKPy@5Xfv0!rA>xZ)KUTAu3o|ami_l z{gj>(C?$2XSny+do|wfdO%b9vTeK1ToXobXo>)`v*lbN3k~Lkhl)|>0!-fjI9qn}R`&y^%ldc9yprMA5WZViDZ>=Qp$~59J{Cvo&8IA| zy54{d&9ME-FHe3jkUbT|6R;Y`)An3ObW~+LI`C9RpftnoK3p`x9iFuA7|yUitYe?X zWH|oWxd6+!$wldi{wJuFRGI;CIN7CtCCWK!7$6~L~V-lvZ$ zckULOcgW=%AEXmrHlq#eB^d&{QMtj%@Yy$sQnsw^`;bmcPsFDlU&zSZ)`fwP1;Rj# zqD~}qkLuCll52nmY=5c?f&gvETD~kwD*604mmYym>WgwF2Y!jWcXI)3qatI&k@}@k zMfS3;_ZCsNbY=uR5Lzf@8z?M}Nfg3|xQMcIiG(Mix`dX^=6hS;VaT2;P6Vy`(((d( ze@$cLAH5QI(puo>ac|u3U<6(UlM2(L6nHPz|HVlt3IUchR8MD zp0sa>&tyMsEq=xL8bAQR=CG%(dX>IwOT|aBkqfR|qX2g#B8_S$5C+^NNHH+nGAZQz ztOjnt;_XxiWc^6Ow^mhr&*<_Sqmuwr%84uuPO>vJ&0?I)8H=b(ReEj^9%3Uf{P9gk zF^&N54$AtSlzf$Lu9F;(W*t@qrC)l+X%n7*J^ga?lk9ns=ta(%o@ncqR}Yb|H_d2= zwSq!Sn%K;V;$Gwe^U3GvPMn4xQlp;XjN>k#i~bG@vV#IoxGuhKi2rW2x||q=|Iw1E z<1GFR^xKp!#!2o?PUZtsSsYnF_RfMq5G`iUY1vQSKzEu2orRFCyUUp}+eQ<(wqNX(8*EB!g@H>1OfD2*IsUn5HvNr+xMxc&g z18rqPoLR1u0KUXn6R~cHnG0eVUjK20Nh({xza9p#ON0clo}fj!R0l4(+!AUEbJ9(x zZ9AO=+Y8!$)Brw^!S&xHos!o_G8|nRz5D|8z$R)<408vi%TGV)x3*;`6|YzqyiTBw zLA4Q(?k_aTdVG#jM|eocZAq`}Fu15) zy@R^zRbC-Jtj+E9pW*Yu+5GIZ9$;{;`Lm&lam|{Pa`;1UInYr4vto*O4aI$h)eZBN z^Gi=M=1-Q`t|}V*OqeS*RBHo8PLq*TpP}zo=Y>GD78)w~Egp}3K?7W)_RE#phBPry zyw0qUYn;It-ptZPio4NAZloWhv;X{3Cbg$`v;>fi!V^{tzqz;h>?h z;kmaHW)Uhgi~*t#fYM_jNZ#RPoIp8r0sfQ14Xo;u{U;4dk&H1*`CD-c?LdE#22f1N zNyQt|tC%fSB*(uDYT*}?iKpu$X2fA%TpfffFvQrTo}j&nh_^|XW;#i%+X!iEw6b36 zaPCuee|ACgmqTaaWHN1}B3X}~#s%i4!Fu57VT**B3z4mesnHsOGC-_CgsE1F$#*~d z<2BiI0|Y#K=z6e6Nb=gLn^AJ!CRw$Xtcn;GkZw1!n%j$Q@-SnPfSxVa;L|DmBW5ml zB&&vte0;#+p=(uy3bPr1;E+#=u-$${_hU1jtRe^NQ9mlu{wb>FwM{>YbcV;#in zo8K$hY{XqMQMgvqFh~vj+ENte-+s?PmZN zq77yiN@*eeA+1CHFZ5~s()ss-AJ-*sKHs=i?7`M9TxXz3o$6P27YUzNJitlJj4(L7U;@LGAgrLx0uzerOO?Vto$kZ=&o ziiY3v=fj_BFNaqg6!Lo2M~6BR{1U8sb3`8YO{@ox|E|rTdmRuj&QAskmUpKNC$BvC zr6n#qUO@VsieCM0vC@TENo|V|$Bo8(HUHCt9eoS6huGu&?R;|PnNnf#f^R|Kk8RuL zsFp<^N_CU4XG0_anAj^Oj5K#^s!{;0o)Dz5E&kNWaD4TxSYb4dkoE!Dq~;`B{O#S{ zsO#zx-}8n1+K;OLcu2Zl9RvYMd3^mB$BdRYv6vkV%PvP(zmpS_o}PuXoc{iL$delp zfm~j)sIsa!#JTYgM{9w1jc(ulAw|CG=0hCTWdB znMw!CJu)7hJ+ihH^b6&y?)sb(FFeM=%lAb`TbnanC0-4>H^--&_p=R*g+7t!_OfT6 zn(V-L`Exb4@l$wVJHIwdo6oe3(+Rw+M*B|Z+=Id?%bg38hDC?N#>U=Fx}HZbf788h zTim6>Cw43OME}0U*5EGjLpXzhP@A{RVDqOExG?UbhUEKCNitj!r>>sO$ZKF&OrignMR$LHl-Y8FSd?}f335sXsZr+jl zJEEm8q|wDO2oqu>T3`3I;zvmh9nhw&SL3(^sh7*S=Dsex@@^McbxCm32h!B3GMi;K zpF2$4D5+`8wVRwlg6BTGg94u~fTH894?n%6{6w1_!;RKjP2BmnU61Se5Ea!NjDQer z2ZMigG|BIwW)C@yz|S5A#T%}!8DjHIIV4+yD6H;eze3UL4@Uhc8WszD*i;hrUiZIO zJSU+MGsP5dw;v>k0XaQh$^LBbgS}CGWYQBOWm?8Vv}IKw$NMD+Xnv>k%Q7`auY-Y` zaB%?}v3g34-0}+_qqh9>-TYc2hYb;&Z{Q{@^c(h5jzZe!%?+&|xgG<9P;Sys!NBE? zfwo9@g6eJhY^2&vNJ@E_pVnL(wJ)+rGu#fobxcdbsZSl&3 zWAqPQR@yZ*O=*pLI?b9G2Knb|ZoQ?7Q3_Gc9tLM-HExxjHQ0({?0;#4oQ#JNHjP#@ zwY5ar;dnohP5fN0&=d;3`O}U(c57V*8B|Aydnsl2=3sY4c~lgT;2IqHpjFf{bA%*81WIVZi+Z zx%7J37yzy7b889M>wFlZdv^JzGAtc+kvU7FX=Ad=++`}YwjyZ1xF5aig@_{RNL~>9 z`;r+_MNC1n^E7C|9;=WNe9_xzF~Nwj;yZwHu^t#e1qg?I`aJR;r#oTdp!l2dCOY1r zU=1zwR_*KwaG5N+_qQs~gW}Z6tZ)F8)y>42xE1>`|Jpl3__3DTg}}Kupw7rtFB>9k zhPzF+QOn3q%ZVN*=vy<0&zaGEx#4*EjG5HiQvlWqbe}6fn+%u*v2P|AMC zONF$#T`8W4QnWV`!bZg6IbHS~!1Penm`CNt{{F6w54Wr)tsW!ZC@3!UT)uO=SUVF3 zEbkxzG;6~sreuh3P#L5nc?dGUu0YY+!d70Rv0n{6eAqD8F=OYs|r;D@4#$ z6%)2`3IQ2TFN4LB+eOEKo0H;M3g9ht@g-0vh}GZB2!>z*;W;X>F)3Ks4!W-=;`1?M zrgRJkA{b`6=}0JaVTEbgkMXDCXI*a`)?=-8LK@8S0oqZ#)qR={5V=Ce z@8LbB^r`cqw5)~_f9Ur7Dw?B|-=lutz7^J~S~V~PgVU^4eIJ75{7YP)cpf<-@p`^v zPkSm4yfJGXL@^6YwEFr!Xyavxt<->cjSD?|Z8p|lF6_zF{e1d&dNW5*dygouDz*5i za~NEJR@mwolJ{e_Q0zKO|CgA=6bx>t!;*>1l=Jy}9dWTdZ32x#@Fqm!#%OtHxhM|K zSo&6MUW&>@z(cjC3)OS@2#B<2T3R#6J}UbRPC~v@=#j0x3q-PrO2B`MCA6{vhekoh z2z$a`TNqTir6(k)Zv7^S{r)DW$ZfA4DaA;?x}bw5#bVKGH~+e}(8K0$kt5w?;m!!u z1EQU7gZRBw*79_>+%vbO&nb?fa1UCeuiPC)-?BH*dj9kAxl;C_Zf`%LA%nC&Tl~vG8SaihEUkF z#mYxJ3r-1ehq6HgstS{pOyXo+%YAx(bb)w0O58f|!5lN@pD~MN;%?KY3?{*UC&$?&_mT^vyd ztXeHJmXZ6*T2&r`a7ihhvLM@MGOeT)@z-s|UnsLLR1&l|02!^yO+a_7Yt#Dh{-4$A z`G;uS{-$u7Fyb$(1=N~#XuSX<@wihe4i0vpy^1ds8EjzjxOOS3dhr$`G4e($=ZGyWwY2=`KuA8zIlH7E$e1 zDrClSdhG@acwn!og9vuA4AI(6(ifEk!s$$m>gi)M@;H>!`EU0r=STy_E ztWb16t-F=kh%i%os!F0xWpK>9sbetpXut2h+p&cT z6UD|w-dfq7e5vXniq)|S^_ulYmvx>5cx#lF1m`}KgSVu|{@7{haL2igJ)Je4<(>J~ zxsxRv^P!+&qv4?`_+LGj4zHf)R1z%2-L}fzmn7^TsXA#QdbMa>Tq+ijV1&YVs=JdF{wa(fV68xC*_lW=lXW!z`n z%llwTn_K4AI%M5hkU=`t?h5-_IE3epE{EU z2T_@czzRr8@=9^p<;N{rt+Zw;j}ZAlpr!)ray`PxF+OSkS487(#htxyBa-y_uGcI< zZ*L;}#@Wjvef9f)DI)c)TRcXmZdPLFZInBt5Ok4Zk_e<8tSj$`t^lY(F{V{pZIZ!PVa z)%vv4<|NXW!K*#%|DP|?p5DvInsavfD4t|q3DKVM8C6{BmTkw9h8(F8?(&JZeDa49 zxX`~a@!=JHK%jLW-L$uzoZ&Ys*r(%m6SBS^!5bX>Z-E}?)D0#eE_lr%_Ox?6IFhM^mU zA*4~_<@-F(@9+1nweMPI?fRU3_u2cLv(`PcYP5-C#KpZ8&%~vcHwgMAn8#PR+W6_p zsYv%0K?{+YnVEHUb^14t)tjlQshr+GF)^{;-dEJW8cGcfBLF6_%492&)=qoX)6*dQFOLnsugWa7Q2 zr>A$UX+lDR$0uXwFvE?FYa{!&va)io6eXvou47_iIy*b1r7c23L#@SNV%9adr-5J0 zaOm-F?fHEHaoE7wnz%S?%^GVlG3&U8<>qlUHM4QnV%FA`aHWqQ7j$*~2p8NrR3xme z#cFEiZ#ZH%5;bwNH)PE*d)+rX8&?x|qdI$ClXRo`pRpTOob~MWY+PK8zkl3Q^|%`A z8*S^F>sc|FwKYtPjXjhI2IDSsj{;`IrU>Y_UC1pck@9g0SsnpS))4E|240^WZrjIu3YY*MDNpq|Hy5*x*N;# zK!xT>|MT42b^AW)PCv~n^isH`qF*5GZ+Kqqa9(cWMlZe{ax6TL^+(@{Xjdf+R&JP3 zZ?y=$;|R)^Qc?kJy-=@4Z=_!he$|Vic@=rvwzN6$c!edZ2&rtMzIjZNg*(-K=fybt zK+p(TJ!y;e$M3BFS^q2Qo#xSC%kmp1IdGP&o-?0$LlS85Q2d_0iJB@la zKK7jfJ7T5-NI*`nKu(QA1jSxXl<3MACr^Pa}=ALRCKnlmSn^zKM_+=rp$ZHvpZa zar#gM|Gtg9OhHm>DsrINVBX7SqdWTr_Sz_{k4#0|zHJoFVf!z;aQ_cOAw(ra(vPxlkU3&>>P zK-hNB(A#wp7Ay&qX#Dvf7?CB6B*BeN7Y=%tcL@$-zUSjr+qF7#owwBi!QMRE$8r@? z+?i0%=bz0)wLT|1BLW4zbK8PqxN>i#^GJQXe6sn!O8eA!l#7PH^gRilomJx$RIJI> zE(1QJESGO&@O`{&VyPEQlG2WQOG+#&@BE$(B+B*;0{OEF8o>v{)I(CuD!xCbbp0h8 z_j|hq`{7S8AEy6x6}8GV#E44;OupxR|s0`|Uzds|n=;L+9}Ep{vu5 zp=bdOiQdPFaZd|oE!H}Y!^g%+l8m)_zMmQ-nq`$1dkh3*EGOQRQzG$A*@A(H9KX~R z6=n;h8Y@~XUrltJm&duhNMVq%xLCpCTake^_}%o^gX75UrH{Q?V=1v5mgi)zPm5&c zSX~=l^_1xQ#CeOeI}Pxj0t>&@)TanxNE;{yU7FmeXPs(WZmYL~b8cPKd(c*H-P~vos9AFwCO%?lUf@%44jfyVf!K>xNsa%$`y8`&J$- z{9jFbt36l6p3!{fM&9+unOTAu0yXe1=t8|~n12%ZlAU}!aln=H?i-OCc;m-pDdOr+ z5;Kf)la#;uY1L%U`6pW1cZqVUAaxSee)>c0L>75mwTsJUY5a|1(LkS{H^;NHz&PU% zN!C;E8ESKVtGJ6#8Y&meE3 z`RvQ-UfKY3&1oF#LiI*yAN#ap#6qZ@HqYZ_Xy3gPhw(BOl_bmutp$#vivx$r#IO3m zN9d7LXA;sAq7Nt=SSR#XL>t%sa1=XLR7zKN8mNC=#O7aF(yKmP@17=qFduu{JKbNv ztQ@d?I^~K;Cae?5QFu1ovbJn)ck!rJq z(U92IGDs7g{6@_$;@rkgBZJPxWQ^t^NPQ~Lo>9vRylu>L7wQ3yiUQ}!ez zj<+S>v0%TX^Ex0!susoAsC>I0o}%?3C2($BKMJd2{6u0T-}#%-A;`8Ic)5P#$3gxO zOUpFT^XeaN3cC7Pd268B;l}9FLUkD;9$Wo-V=F z`UqAr@QBx1g=)-h+aJzq_Q2b^_PEGz*e|e^N!YRwNzNPouCi`2ldq550>(TFGIaTaB@?lXLThT?2FV1ZjC>p7LjFDH# zzxpWRk3Cud6_TxtB)rh|9Sjb7lY7_6Gj2lVQevngBjFFplcoxxkR^rr^kDZ+z#-3G z!|JQmr+0y*jc;;(HBOiHR67bA6o0SDbeUsiSrHh%R`EyYP|9XLH~PXi;}vt~$Nn$1 zY!UE&4w0x)GSTW~kB2~Co|(wwBnV8ACKf6};C}<3|5Psc)eoPFzM7MIQ^c=K&rq=} zPsi@!X6-3I5gjmVPT464RR0HeyMMwY<+77Y4w0)#J{gvR#osKhrp;Cheb^M^N+Fym z?Nqbhoat9Ur6zqgweWK~#GOKUw#}%A*NZmtBe?1JZ1&vZy{pFX@Gp$5!I`%G+Sf8lro13^74% z#E_->`?;iYPMnP1Kt!GrAH@_~|>+!Hae*jvdA` zG@+htmC~UTnf89FY00l(BP8s_MSx4Qe>!z%jBr{@1kbBmV zxsm!E{arfLsiW+AyM@NWsC@Nd5n=5=N#Znl7O;`VpCs=%pubimx?var7P^*y_7Y=T z+ipeHvDW6gyp{^NAU7&h^%p~se`Wr=a@?Nhz&R6npQvH! zGU-wbpcq&USDdU&M|2{w<7x;=8zo-Z1&0kROC3Zf8l}Vkt`|UXN z?f6raTjwVN+_|qqgpZ%A1E^iM*w1vSRe3RV{6y0IbIX08wlspgo8j7B;sY??CGakH zn*di-AoO#ykiC#A#j#%Zi`zh>t1ffL!s#kP2hgT8DyIL*!Jjje)Oj4lQ~FGg55*j`ffVDM_JpA+)ZBsV=At!2COsZILPPqqO zADlFhvVssy^I+SJFG4V#d~hC;h@%*z zQ*bkmX~nH1=y?zitjQp638!(;=rovf<*BoD)PvDU3zIp6;hHJrVFP^!$IQfI9saV6 zYNU@h@&u@&*?fZHU07EFWH$#h!$-|j zl7qkPv|6UBH?aW^dK`k%UgX~|GJfO8$|ME~)!B3U|JmkQSYChPgx}9ydY{o>9Yd`r z#?D{UT|HWIk23JMKGNWIN$aXZywg;GAIA3s)DE5X=+*0$+~bzrpot_gUgG#`@s`Sq zUKS2DsZ^-GT%P7Q7dPq6ILD^hTjCdq3V?E*Kw^4B{9DJT4}fdZ<3Tl%Is=2w;^zoS zFTcKL++Gt+Lj@6aIJBrp$c=^bEJ~<~uP*=(8yM-H%m{CzK%@)4m(Se7pRaN17eIjWV#t!p?w<)!jINDx_MuFJL zf)+-=cb)q5i$xGGdN}m{z3&ujwtd04p*4cwpRL5!(=b{sr;@*U`T=HJ(z1=f^}elB zr~3)hOnv|za)R3FxF0_Jwu?k+Uf-n-u;)f}dl@Q?CiwP$G2Q8bA6JE0)Jh0FLZK}PJk0IXj;E&@&WIh{qZd-8p+Q1F>i2EVoxX8~JAN0Z zD1D%fi*iGSK=(FFR$Wk`{!eXP=Dut`d%n!!v-1sK#^YQvr$KC_u~gd7py_c*>g~ny z?)tpd2Yam#LyTzo=DC6N3bV04*Rjw=m8#9M!&^iW>B7h;wKdZ+hiJ4uczg9x($6r> z=5NlygrSW=zZ73r_2bnXjJ{2NXUzLHE63pIfQwjP7GvtNvEvr;-wv}<)H+JZ}M-6qmyFf7*KVicqDPQ-q=}X$0hpCyRNec zkxl8EoN?hM=Ry&HpMv=f8q$jp4_C5(V>|m*Js@nFXcTQ;+wI*;Y$cj<)>deczQaC> zm>3;Z&IG&QOX^N637=IthuN7YAB{U~O?lG2abjnC5D|6vV>fGVu{QbY>1<758 zX#cP{Y9XpmS#9e|(3BGl`+g<#1gNg6BKwu$Ds<+PMCm?IVfiBy{9|uYgzfe-?n*mB zIL`gqKjI=VlKA|jaeZK}Vx5caQOS~TNWWNW!0Na9Ccb8saE)b>L^pdvy_6V#^$YG=CY}r2xRqB=fRsE>;kW$?2X&4C zg5=c{Gi^sBSt2m#m81m9^(6vdcMKQAO`^E0qGBAI<+HGD=ygyTV;@kg*HR}pvLbFE zvxbfshC3p${e*AQ4K{9i?I^X}@6AsvYYsvxRcnJ)gt5^Pw#EZ&T{_=H3n&8>+xRtYeb^1`h?! z11Mm+ZIF1+NlBAE_2%39kD2j}cM^fX?%GMW))3Nm2=+J>s6BG)m zZ^;=*^H1rn>e$KIeF@??MjtOjbia2at*V9yC|D!r*lLg|$xgml)cQ0Wz;U~UJ_x{r z>EobVU=qYI=Li{eGQmHJBpF+?@Lef#G%oB0s1JoH#}fR8t#b2j3;|jnS*&fJ9+8el zJ#hOQO^mFtTzkPyd5Q>?DdB9(-QJq=wL*mvIkwxqatfL7~=_IiFsc&71zUp~;+ z7`l+LljM6a5KDDIY5uzC_`)=GB1XWHN;Oq2Ct^fVuDVBIZV3}DY_ZFJvnGl7D*5`*%-hJgZe_JIu1W1vaxt6f zdxJu+g7j}e1+O~hsC}Ev3VOlbuRJ3?Z}T-eZ36z!O~C)UaYfUotY2INNUi;lt8gi6 zy`H<rwnJh26zgc&P(86)WqwC7{WBXlQSHC0vX5k0k*m;r_2^`Kx z*Oxs)e?xL!Up3OXrL;;!_Z2r>d2U>r{~r#Yf--Om!$ZFeNDIpNo)nZ7OrHJ1mq^>Q z9t_O&@xnt(NpPJ^^=-3FKw=a3sS?I$;>fu1Zn{@8qY?n_3n>Jvcrx?_|?SKuq{mPs2v*{jNOJW2@;3(P%c zaG+8YSdn>%zG|RCUkzvm)G$;Bc2B8>U(zp7+W1at{)Ouy4_IH%rTT`^d-t?Vjl1X$ zU_NKG_G0}GPj=E8myWO2g@G>9K<)eS*Z9G2 z9WO{MbNq_^ZfNJzUvE)%h8aZ8m?DUf%KmjhR8(6eTvR(#S#Wb+V91V%RAY3d(E47obzj&z{ncl2i$!}ok>ceNJLcnu zYJNq_-OagOJR1KGla zMoDuLv%lrfahFM#ntXDnZj7c$*I{mi;B(!DYm=;rixP+b3zC(_jk&UC(N88$K}X{7 z8Eo>rDvDscgW91;Rcy}Si{Jy>a42wzL+Ny~J ze$Z&vY1U|-(3KP1le>@{@mc*B6@2s!8Yjw1)Ot|6ly>Y!jXDX2_=%P4-}`YCI$;zn z94rr8esDFF6*D$S19WFu!!sn{@2~zarG0*u?WON&GLiXK3y7K(Y=^kL>W^MHNBC0U zM1DEq&U&;-N}Rip)PBEp;IhM^vdC~^XBp}(b<(-Kx}NW`69PKC70hIjQqlwa*LySl zlLUmEmEw%qL}|e%D2-@*je%2xak!W17!6@#)_X!Bn(gu}G60Ww8Wi(;>3eF3r*E~) zz?R@Yg!zL7mpJBe>x7(j@6A1MbW7Ikgm+?EyD`I+CGTZkYz*@QuT3^e&Uoo4j0??Y zzCrGbr&BJ917H*(j{lW#&^Qz7-s4C5qAR%HrI}9BpbL3@I*zRUpO@uT@A(#xwL|dP z(BCC9*}p9*FC?5_f*0+ z>zHN2ph!4DT<)V&-9{W+N$JM$_0KU*?vprFeUz{XZq%flWUzIPQ*8NXYK+%?E~kJX ziY&G#9mY%4s4Fd$`Pr=pZ)NDtUp{<`1KEZ0Wlr>L8ihjdK!~?3;i|5a`Qe}hprr?|baq%K{xPU(sa0X;3-$95N zvg1WDA8T0o4P-eHl@!<)s=ac7_b$)ey^v3>tEper9oo&%SLo%$SUQQ!>Yxtbw)w7u zDPNW6$eO|0U1w8~Sy$9#GDde!s}-(t+493(cVflJtQzU|4CQtXTP0sGtGKP+rHaxd zZMzfH3F>N=>gAVzc0xk&jtb^q1P}{66|Ova^P3#=eJ0<($CYXIx8>CSgpb_W5mj+W z>pdx0#vY3l2Qk+Em0Zj(d8>^e*DdS9VB$|%n!rw6;wYj~mHx^CO|_kSYV=7yMN4tmHDL&ci` zsbDYpI#FWfL4o!3Ld5|e6>7ouI7#iA4xoG!4G?AI)1avlLpU7&klXE{mKOyU2=Xwh zl7M;Vj`W!Bf+l5`ix=9Jx&owGnyCz5@`BCnQF%{TB$W3v6&i;yz6@Y|0&Xn-<7UGd z4U}@}`L-_AeAUeqo2heVkKpm`O|UDz%f15is&{r(`2_86sZj?@Xvso5-{ zJ%34elPyJ?B=0BKT_|cx&pg~enZ$)c7sJU5?h@)2-$;@H&9 zzQ1&s3e5l{tzt}Z<4OZT(b6BJ)W!#C47PpnoV=^rbIe(A%Z!ivK}F2rLqI7+D;X4% zjuK*v`5rK}}>-_A3&A<}W{L<)t99t?xXB>#cTm zVL?9mDiMdIbnTNeu|bSZPZXQD%MPRMG!2*7zaTt(fTnaf9C`tUDY-1nDM)L+{dpHC z>xO%-;TcKb4;HPJl>5O^6f#N!7_s8A+wLE!zFa0i=J32RyC9(%Jhk8?gyWmBg*(98 zd1s=yp^Ci~jjUi3eu=E7f=!+&P*bylHd5KNFnS?$juu$gtxJPOnFz@gan~HK+FU3+ z$x%f&rDpYoDX2>VjBI@nB_3iC_K8?N%OeLq4kdMXpP+sT+djR!^!I!?^3k1$I)h4O zZKQP|E75)SXbu_rr*}hG@(hJ?FxS_l)5&GcquZ&uopVY5ng@F;*ZfW|ZCd~BZkNHI zb-Zfd^qe3bkwu$N&4l@%yvjNnyp#}sE3&%WB}6zO^BMH>qNhkdz{0b?R%A^wy z9pWMzBZZa(UG;9GNRHgR9;H~h(OjP^g%#8F)|UZde zKrJ>EN!hnIk%E;zH97xk{c!zi+`szh4{ez#$-QT4LlCaO8H<5GQMrH;`iff$2Na1o z=9p|e2?UmQN*%E$C1S$MlYVNF+kC%5d>vi4F>-<>_DqKyNTWLGl1yBg=V&LSA=xaQ z_Vf=v>yMkKVZ6}S2?)QNuHp!L4X*rq43^x(!W~AcACS@Kx!ye}2l@xbh*QT<|mTG+-d6nO!>umHOE$7TN|x}Q9C)=w;nV7 zs_f`sGbQP#_RQ_Dk@@>Wf%}9gc8|!LV_OlPUt0(Z`fol9S5NZ9)Q~a-+V7bYcWK8; z&v-H7W*x{lQ7%+1e^CI>z%xRW?&=h5BcN3e@pb43+1(sDTRoBCG)kHo&FL*?GOH}( zr|SkoCmi%ZkvbuS8|xm8gzi7&EG_YLp zt8-uYn%YBohkUx9!<)0vpu_GuoG)K5+lc?!%lOlqiJWo-L7>8WB?960qV0)&4?N;+ zc+fUU)0W2VceJJHe-IrwC3uYE7n>KRW#=ax;%UDnqi7m}4eh#y z+kY04TL$SSbU^T@x_vy#x*f0V?FIX*n%~uIlLB@HZqD!$F}cTC9(6E>iYx z58T;A1{Ptor@$Tql7C}EcP+wqrtkm0xg?DMNTUrxZWk7eLtFvALV^sf5xh(*zR4`# z0e50QujA_}*5(YWk(oi2KQ(m=Q&6!4zQscI!}L+cw3*c->=xWf1}(&r!yhvF>)qG< zd&;!HA9trvSC-Vu6da2XNWG+!{RQG13}3Z8rTtsA%a?~z>|^Zy{@{pA5 zI~X68c>m7Yz3Xnm4_0-zSzHZ1kIkn!i=W-^{yy@1gQRPn+aD<3E+F|}=GyR*fsdCL z%G7qF+({-;d_*q8F63}u{TG|EJGtD}zgC$FS6m_@C1rM*6_A&*F0TGMebsreBJe`N z1a!mM=WfkdI=p;BO!xX1fhs}g>qSB2`}ZJvalyRYvS3f_@=j^c-#>fOlv_vLuI1i; z^OIIhotZ_;>}~ymj49i=!N6A2d^h8%h~Jl+o}3QpuNdpqmT`bI+V#@`FSe-{0jUdM zdPhc-3B)=zZ@l$6gK|&%N8=?=GrQ%r72VhASoBZ$n?#MQZ+h(ez1`hgBU<0CmtsND z@pv;KmUkz|sH18<=Zd|eo9+Oc#04S#J3h;S7DR{G>JPZ98f}iA3h4e6S?s z%qh&wgTYNXOdpHyr30rhah;s~Gvu;dBKVaQP;k82-X+KK9Oc@ntYU8!gV1UTS$+8{ z1~EOBVX}ud9xJ~HIDq$%jjBw{UZSS4iAnnf2J+~`kd6zW#Bk~u1Jh!mPub4FGb=Bu z?cWHDnu3J2f#ty0O zXPQAVQKnve3BpeWKMXU2Ifzonyk0v|8uOrU%n8twLL~$f(>ub?F1i@zR78h_Hcfrz z-wRv{ZyUB>uTci^j?RJ^gO0Qg<2`}_T*A~~fClKR{q zY2qF5t04I?vxQ7=xxJPNM=-zef6d1TyF@xX3v2a$wxg^D;(X}*%%-qfH*Tc28L#@M zP;mF-FS^AgtY6+C39{lrggMKriZ5$@qa8i%dHU(gB2@WyWd7nwFYZe^Qy(q#6>WrX zxlw+;AdFW`7hs6Dw@H9BuStR9D|%xae3v<7%NTR>BoCX8g|i*=vJt_^)E~|QxzEp{ z)9w+F`XfJ!fZB)aSVo$6RDR+JO#g4GCJvNZrI-qe?2X>c4#`$|`NOsEynN#r>)aQG zY-=6zlJ=WQDCNrtMEAH(8uupejIwViWP_jF$#^LcN-<(F7bIdeQgCYW3K+mB2-P)l zPseZ9s;L@L0r~prF97oIUltI-xUu3|td!54I{czR=-nBLIh(dD-Y4B&)PL~YmPz#A zSHF0Oo|q2r1bg{Ht!*&W%Q!_VGMaMqNA>M$v5d3t1jub-)@#iJ?>cUD>=B2i@82>$ zn!=l%A>?8GKW-~!@0!(0e!KTNY6UA$e7IJk-pVaCKHnshoSso!g_K?0Ukku1{Cy5r z06%!nhDn|&%g!hA3~IhX-ZB5lmO1uqjYDVhl`FL3?iBTeQ>ZHQ_3!q z))l}yqsmWgqq^%wA*6Fni*YdJFb`Y-30vOW$(n!9A|Qs;po?(d#PSQNkEotj%S@oC ziYU4Th3Xhm04(ryI-odgrgwkOO_vg`>s4kYT00q52-Ecnx+jW1J}p36q@*tJiwuyV zs&#iORlcck>dh$lBJNp;(~&z>A*}pFp4ygb(Ycy_vXb^=z4>J;ZkwA3P?n31hiV;s zOw5JAT~B^Bj7CWEAcnFOM8!gmKeQ+O5JrouB|9D8?urf^SeAbj1yt^&NBanu6hEqX zyE#lS5s$ch(~QE*;ZJ{k`f_{uero6=Pev=rbIa|}pw@{4)OLz@AN)k`mV$m(x64T~ z$?Q&ydYRdJdOiX7H>eDr{se%RhM2#HBtlPj-m1x7hQ&hj{j&+63(8z5*B-ArUHseB zT-T;$q8*ErLOgpW&6Qvh;!>n}5MJs2T0ck9p+;3P4+q9siw~>wH|CdZMXrr@v9vf; zbL^54VxHI|XF9_c9t_absguQLkef;6UtnL;1i4b@>j?@$CW3~A*aDB_Zj6%dW;dKU zU&z@d0BY8m&}tkEq$1P0B@$)57&Iv=g)_1$%c6(ecbkw z30co*8VkT0tdXeWi1Qs#7?Ac$@0iuv@BSe*wQ}FU7R0cH&<8Z7&x{dhJHip^mYyg? zDZDfVPyYe;0pA)&`y*W&1Vq^yf5fL`rddv#9K;$32T#I|*#Kybs;ql}aoE!<{!ICn zIycxrus})mE2#LGQ_+WvU1I%*+tiRRV$%+#>%$ca;n6TZ|94L+r6XzsYp)BSFe)UX z11kNponm~yI%wI9mhRY>HU3m0ePo(jecU|f`Q|wwt;6k>TuzxI#7~Jo-Fo#S|E_3p zVy4)sp`+{yQ5es z*^d@e_zGpYwNp^&=EP*-O=r1DLV;$3#WqjJk&fO>6ai_&Gk}qBwXsyUBxV%n)@acgZP<<{X~29DqlkQU|73XuSD*>{w3*@^5ol zZ#*y{Z&}0U|CU2-{0KpDVwF%m3T@sBWs45KjNw8O>Q{v3^z!m)VSRy zx!rQn7z6R*mY?v&P|12>&~FIuaEsGpwp%p(X&NU`<`CVMukFuwvF>_eVwf%sd?Zqr zt{}DHQhw-B&qKfVhvFF?53i_nI(H3MY{0-B5n_`QMUME$EK33s=9ZP-Y<3QL^#%s&Ft7`t0bj|8WQDc7(qzS9&|m+S7WwolA5(DN*MM%_;{U z0e?t3Z0p2opf=M1wD)B+`9oN2m`8G|H9S5f?8+wkFaGbfh#{a+f9DsklUi4;GDVT) zZJ#FZ@orz)LMbhjR3&dhm26L?ha`I<^b zLd?Ok1O{m|c*~y*2*#aG;su)c@v#&R`!p z#b7VCi61EnOy4ZTTgfhw-fE5*2cw&#$O!?V8=JgjG|`F;Q_bP?x`D>m6ff z>BUnF{{5c2$lPf~4*oXUWe#4P^8cBTMQT-v5X|f)&b_Np5%GzwL6J1g6Sv$_SmuYt z1&1olx1UxR2Oh_zcycjjqr%xneOkS> zg8s97jkCQqPV}nmZb5eWPH%6&Ftqh5Kus|L((2dYP=$?lfzaWuos+pU_QhKV$IYw? z^rKf;>rgIX-xKRD3@^>+YF3mQBqoizQear?zHMpLMLH>o;(Du^gD?Rt)(pgejH$a< zN+}NdZYPdRqKyJdsFqT*K1}V+#(wm<=)an9CSXxF0aE$0hMlEOMg$rvp}15NAZPpk zABF2Gt)D`Kcry3pI01^UX_-X0442WEEY8&)p5C0n~$*IZy Jcx4*;{{c*JH)sF= diff --git a/figures/interface.png b/figures/interface.png index 96fb02fc593cbb327a4bb6b42f20793858177fbd..0d7df83ba1a016e81afe667b936b4e962d476212 100644 GIT binary patch literal 27038 zcmc$FRajJE*XRfcf;0$HLx)IrZKS(FxAxKFHNDPgn(#(J; zFr$RP8Ncs8x98?O=Q%gC_v(1pTKnBG2?l!VBt-N?5D0`sQ$xiF0>J|z5FCd)xL6F~ z6gMXpATrP~QQg_uxw*L!5fQ=S8yg#KZEgSj`7=5?IzB%B^XE?&7nicKva74BZ{NPP zw6r)mIVmeEgCO|s-Mi19KWk`cNJvO%Yinm@WQdB2A`l2s2xMqy2M&iXE-peK*2~Mw z@SPnb61latwX-v{GZYDdh+1PUiAG|%K79CadV1Q~*(ob4I}|AzDGGV?=#ja(xoG6j z)2B}p6BB!Td#&L+Gcz*-0|V97)sg>HTf?m(v9YnQUcHKlhK)}t-N}CI0<>uy}Wtp@z8OY8M96q$;(sl!Z$Ut1$ ztVJPkYf%{pByHse9%;2RIOqa_+}yN@Vokw^hTzsiLpzc1e=?%Bui9>IBCWBxa={Wr zMIoZ1wl^zjSgy!oxJ=rLbtL?z&1D5^LsWDK9%(Hq3NMWq#!5z7TSpFATfeln7Il$< zq_(cYce=!EY_MpocPlGxGA?bRk#LBN3^qqMD=QEgm)49YQ3C_(P07}gkxw#OA|v7X z_V#HmGVn-I85syx2Hx}5rEO&ho9xI)85x(j0EskgnE$N1xX8$0U5m4hYjcrl!$#hg zhIKGL-eF}0dnywnE{;9AgtW=Xq(x%YiAJVjJ%@;XYDBa{Ag=nFDvBmS%ZICd9#o3d zkv`v&mpr#B>oVdA2!Bja-if!QcvePPrB-Dh9sj%h4t^={)Nd;30Tiz6Do@a&{J;vqXs75%%mir!*_%7a|=BQD+ArVk`h8MGX`pHhWz&*-&=o$f2O1 zk@|GHB~S0IU*9&@?K^jnhOf~qCZ+1)Gf@WV(0L6qB~{Rb9k_Gve-q#x2R?GbNYe7* z30*yrC+c@JQstZf+~xB5X%B8DTJVnEpOfzmdfHkl4K_2A`-Q#6 zs!RK(jF$~_i2z+r%7Y9)mn@mH0{0n_hQq_;fus*aF`0TPednvCW#?zJKcO5~>C5nE;7rcU*!;CCs3 zG8=&6%inZIevx-cdB`RC5I$BueA#|Jw9t^C4hnH#UGEZ>EPa>{4eW6|5fyo_1HQHD z5kwjQ7Mf(SD&Sm4$cvwTk0pRjJ(}@1(<_;p2-N8$#A8jKq9GHuyvH;X^)d|_wtKfc z2$Q1Wk6GMEbP3NjQ3)JRd&iy}bbDzIr^dEo8rGa1lI4dVP~kDApn0~dgEP&O|5R`@Mdn%k z^}xqb0L2okeF7#&&xSrH-M&wWH2k4(|9T)W(zH}vcqYm_9Xg~z)~^auW6OyaX_$;= zvHpKec=p#JS7<#Nf=zgJIy5>7&7%gA3(UapBNf{6+3svf z$s?LQvszl4Cfe|bA9lp>sn8peEwoV>UcP$uKxHm}la&Q*i@$gL+L0oLjfj``I)74U zX3Z( zJE!N2+S0*7Y7k)-VBzg1P(A`l{qyS%3x4UaB~{ljUY^08LLp>R0X%H|^zycdV>WIx z9y!5`li;aCd;iOa|2c19BS>@}JR=SqPo`2}#JbQgl*TH4R7s)0hXrUloYILOc&opJ zI4XjCF7m`%!3!tm&lPpBG|D>zstW>?3UV5$6XS6k_eEi)kvA{VU&ll53+$PHvEhmg zN`vOV{xBe%ycWxzq=Vf~ zofO9M^K**$J-HqNRcB>TE>Gnce1ew7kPtvw^ksbIDF#OEE+9v5CI5z;UX+NpD9E%nRKESyxB6~Jc!va5u0 zR3co13>BVfAU2f2XU*fH@ewVd;TEr_Q z{ip1*V7nt@|4DQW=dCJjHlSg>D_)p`L?bmOR9+C|dH>M<4o4d7P5va9%<8TH@|oEG zR>_$mzSbSM^-r2%!q3#7ZmS^DArj4_k^08hn&z@#z;{gOr_zsY>kQ=5>h*WAzjfkI zt%A2CnQpG#OH3tJ{B2#DmsU_e0_}Dh3rS{roIfnUo?pr`53oK^1Zz$FJ9tW5&R#+M zi2MY!HOtfymdo@-8t&puo`w5Ovpz}t>a*@4ieIYzwh%VK69{h&tu(0Ye2|ff^&Z`= zU6bnZgz`NY@yKNChx76Wr^SdTQF1>ge}n!Dr(c7w7EUFKP=+!I$-H$xj zfoB$M9Xi>qu?Qlx>S5-fDbpU+1H?}mGUky%6)vHT*9FWB991H9fdU?t%inDg-_u~Q z#CofEz7J}6xy|F_M`ccWdd57-= z8&jfyXpxq0oc15JSt@$qz`_oma#Y`CIq%1A`GcAWNyj)dYgILAraCMJqZdEm6)n>7 z_p`}SnI`FID!-O_P1~!H@Z!m7_v&WG_U(-TjeoAlC-#KMwIBN_MJj5Khsv*^r@pkY z{|M#gJk&aN$-W)3N|@ zBuL}|F@gxm7fvB+eiAL`{UUTnW<-IG!i;#Q! z41^vO^4850lgfT58mQl=GrWW#rJrf&M;JeK@__B(sW4rkS7*QNrn7l8d_MqWq&I57 zUReMo1Zbqrb9I<*$YonyVtFdFyVhAO(w_e~N96DqzD0Z#+Tgl)dN~_7;Rg1zR$&Xn zbiBjd3*(EPg=d@U4cvU+Z`+^E>G>vY{3K&&={m^6L;gz>7Eq6$@Ff>q9w82v~AfNY?oL8k?yJw>!+5OVi zS=RNYV6wl0Z!w&CM9$Myayq9uLjRF5#GJC_C_;nm>eKW5q*k&&7%wTxp5y7d@4FZ9 zgX6LBe#y`<^apz|9F?3TKgf;*%o?Ej4^g%ygm%P5-58GnBp-lLB(qIpgYk7c1 ziuC(pgyjURItb`a@v<=$DhjE1XSP{A*qaXig_Az+f1qFYw|bP!ure11NaVKCn<>M0 z%WG%qbzhwgRXqcu%t+j{Ied7s>OTgil3hfFC#7NFbJ zVbJBp>gY%R)qTe6o@Io^=fa9Uq3yw`zKe#Lfz5(@KO&pzKlUd(1og+H{Vb}efPb7+ z!|%7QysW)9B>p1OdvDR2rp3V6<030p`xW%vWqMp%^Rta7F44bsF9g3&1n7{-c;`Yx zOUaAv2GEBPyV6hScTq9OzD4zBPBMZsyGvcj35Syq&^drEU84NN5?5Z>SELt?+XYuKR_eXiX4ZzD6Yr+^P?gdaz0~>jx16+$F@m zlkJAd5gmz3lG2cVXt346<;gRsM{{VGq=&-@!nq~+M@Sf*+{q5qgdgP|>1V35 zP$tpNRekj5phPO!VtR4*l+S#sOUL?m*Y?DQgYVsFtmnEHGnt|gVhf4l&tt}pkaE5c zw_HrKU~ZCsnhWpF%=+4k1C}v;X+&S{WD^z1Su4oq4izGBbK$eZ=9;Nx>b0&gx!=8V z`sU~}tA-B3el$x9R{*lf#U6^xLJd)p=`d_}$QqSOY$Mc>2`^+tg0ob>kLP7|9uxbP zso8sdgo&K;u9F7Gq=6SAMdJAI24nD?Sm&@6Ef>U8wrAQt6HHr|a5TlDlM9VrDCGyd z6vt&q+-&yAzaS~29I~K0I3{~pTLB*{lM<%p7X(Df`YJE&T-tJpGXzM@@ZH*bKirok zS{6&5p$dG>Jz|Ia)v#e<{*?vW!yYr)u$Q<`3h_<2l(rh|%M**B$16%?h%%YIZZzX` zE86_A6wM`HFzl?h%Z@t)AFdsm(aUG_S;%w~nP7LCU<%|TJA9aCqYSK z#lN$QPGBmpxUEy>;8yTb12LFVq3&F@LapdGnP{JY6D+)Gbx25ES%LpPI~TjWnYK0L zIYB}|o>{HcpzA%&!8X49+LTMqH_t=`TN63*n3z6)xzP7tcLi1Ko%!i8gFGnBTo?}X znF-`^srrIrr|-Sb`EceWoP^OP z{qUYNZmb%rtk) zVFy~qjA-utH$RY7f#yligq?lsdnVs^dkWE!7f;8+bl*QiAn6*)_h#Hys`rAN<}(YR zSNBi69KMcYBVQ%=IC-djo4@pV`QF6AN+@GgPM&D>{3(BJG@9Dp`MG}TQ&T?*VU%}ybIFI3vj%&q_@skIO$A3!=h7nmIp< z*lEsdg5gIYVQ5_??O(f~=^HrTv+`k?iPDcXxoJ>g7_kPM2jQ442TXF_-*O|xSb{f$ zc*PG)fy9cLIBaN)otokyo2pB=rpvas(eZVyry?~5j{t2>DUnBd&3A)6MFB(Gt}N^B zGbZK-gXk;=OW!xIOVPx1cXqbXVk`oyOGkbB^&8aR{k!c>Y&y(OTHaf{11k1T+>fK} zzvkPQYO`Fh&afxWOrBHt*lTPdr7bO}S{1TY?7BvEX0GCLhorw6{C)Xu-w-T0IkP+B z=+$8LjS@6+jvh&_{IXhoNh9>)5r5Bqo`1VT@4!Uvqa!LsEj=>9RG<2m`i>y2aO@#`u1sLOBy=#mhJA)hczOm%D zB+OYeLTt`!NwCmcn0%(v%O=6NjTO>=wkO*V`&Tzc4BEc$V$;!V4Y7Ml3ydD7V)Qax5 zSRjV4Kk<9NB`X_W_Me{Ghkl9mP=3lbt0y1uWm<>UoiXG+;y25=&k8w_wHc2m9x-IC zT?Gidt4mbjgR7}n0WA{c{xBcZNkUWQUf=YWc@pf`rG4EFT|LLSqY1nz&jx`BOG z$wW@bq#FR#=roX$vaN%7x475%L!j3OeaM%G=FV;NvnO_?XAe%>%=<2xO>Juz{zBTwmO#M(g((Zuzxw^|0&PBn4nbK=Ijz`gq)s=2I3ai~wI?aLnjWu-K3WcBCq zEQbaUSU&zT@8{bNekrGZp(t+}2lB3%Xn*lR(HSMx8Wyp9tCXS{eUcSFRos#Z#>T}- znh0?pd1DF~>!CB_zORH+jEOQSYdu=4hHuYke%5K9+svZ6JrA!_wo@`oZF75KePAZ^ z?c?=qv0`7$4@ubjhKi$zV0E573#39N%cfK{i+GD);@sA4_yhfx1TdT^Olb|XB0s)* zFv@5V^ecyZXABh7c8c^)e^@!$jYW z{HN-bh-KxJS2Y!iD8+zJkuEmcQJO`USxVe!8e;$#xW&K7MD=Xf%*DM1`f^SgCL&U= z(Bg2ups~93xpgs5&*GQ$rQx9SulMtQq6x_>eoe`%`%PR*MB_F@s*k|jLEP1*=U6a>WGt;PIbJyjzdF8SjR)kGd zkQ{t5myy&LGgk+VeJ{61#e_ZCyGWi#XB4c zXGTAsF*yUZSfx2tP)6))r;`fgvBh zPqMuZw0m--qW;m=1J~uy!qY1fqtqKWw)xx|r6ZotshnZdYxO}MYB)*b<$*e4QWkT5 zf0*XyPkWBvB(LNZw}Vg1&rz(0it}`@l*~V9-6?(2D&*n0DRxDUqmCm4%iD(z~R``T**VMKh~tpciapSW8`h%5b_ zv3-mnbC;?MwsETkf7gDTC~Gf<5(iu7y%03W*IRm>yBZZ$*BsV7V%@zS_Bu*k&Kk%` zmDwUmbX-Fzz6m{Y2)zl(GlPZT881;5)i-tY z2!W%g;9nY7*TT7G16bm5^w_%?N`cL`Ug7qpZb#E1D+3_-Hu;X&bHS}j%s%sSVhoGN z7@i5GW&p;`uS0ybM_P{dm0M(entOjb26~T0hVb2P&Mv#=gsHyQ7o}UOk8+=pV(TkH2fW+hS%sr~I}fCZL_>FgVJDfDKd1M*2J7`hZX>fob9y zorfOf8;(>?YxcJfUuABzH>oW|-~MKA%xcs!}J%X^AjWlyH043HtAWjBBy`5r3 z-x6_;=xXTHmTeo@xy{sCVI|tD-p3*5 z(S_MK76|6aomqPl((-58ql%YCU*w{hIqhl3Xg%8(!FI5IH>d%1cVR~QciRblBERAgbL=IQVVMhjTCU?`R?-ihtKSIUOzITkV_VVy)G*APi_wy*uQm66fdkBKj)-xr*`-C;6W9} z8)MxXL!IT`oj)2hB1XN_cpCDv^o>p6lcS*>DpHKOay^P(;1MJmPtWSp^{a@N>*xMy zdU;`!A@yOe=aj9TO=Y^?b;gxntSSW*@aDcL44LAtg!28$J)#Tt_Z|dL8>k0m;@PS1 zf9$4{+1?8XhkNBLSwHH6*N*Eb*I%C)-nQ5J-g4XAM5@Cq`MnwkK#P zHi(}dB4Fg*G62npSC_MLNnetiX|5-%{+kAl7?TkW%7;)iV62(&n!MH{9$r1xJl<{l zklg%d_A=-@4v9Odcr`Z}`8_GmmvmNLXBwk+fkp^u$9Hdaji%kpU_=z{W@RxYgN}il za{fuO6pe+B0a_U)tanUXRRXm=a2N6X%_Q(#iLXKp6Aht$IZKmODqfZJ$Bs=r4Q%uc zJ!@|&aEwbRt_9xomwq`Ji=WO8tc>+Cym+$C=rgwH<#Q;PeX+;Y*Y#Q;lng=w!VJ_hFNB zJY7F*R;M`4=`}5^B-CHi#=F)!f-oRjNqF8VPT${AYzsN{g6v*p2S~3ZTOC)-XR#)O z)f5Nwwh6WU__=`o>|HVJhp35DIb>M$^;Y8(y`yufl<*EarAdZ+yA}9NQvU4C_XFm% z^VEtO7fsE`CKO7Q6VrLt;Cw&T>S3S1o9MqA9TZ5FGv^uGl27lO_d_*$06g!sQ{V9< zSGsQIRs7Bif(_KuycYfA&L!A>NRa(8jwz+fncwcF|1_nw?x~8D5+JrW{1FCjaS;cP zsKO+I$SO`mNdpoo;U$fYaO0L+xU{NzE_s$uTX z{Oks5dJ*n}DUfKauptz0c+orXbW)UzuJgX$!DAMqbl5X#N&x^VV+tHS*(IbV@uGf? zZ@eP8&-pGcxPNGVVBU|n>RL6C(8&@3oq)C&6#?w0zFUXKDC}0D0@j^?`jWC!`8SFB zjv1MLyB;@tJ7rBl(nS|+0Jo2FsIvKcCxegEO(`Mm%K%Q}XoS$-W5wJ1JE#sh%$XH~ zt;-Rfk+Og^NgYG0x)78WHF$LZUwJ+?%w3zhd!HNgoggxx9(I9!Vn({>yM!0%g$3Ny zF~1(f6lAlJv@4|5RLC#u0imq7D_W=Cf7;(fRb@&TliA6Cac2_K)h6D)l^$(sn0iQ6 zhvm&N&R)qvrf=XQ z7L?;srjy0J`_u6{f-dNV`-VOkZz*m^$TNIPHDH77nMnfZ%u8MqMJb{GLOz3jb)hd4=p2@33~M?YR3@xlWo*SA)Jr<;vlohBDr2H` z^kt4QGO!=7t~r?Slyx+%0c(IMX=|Y5Zv7bG-W`IeaEoY^(Zpd7d@|omPkb?6KbJdi zm!Xnp_iCQ{nW$BBy7M?rI-jo4q|Bp_m84hPp$a zVV;pu{2BfLdlwaVJn6S+a^j|HPK_J6<5DvW{8d=wAtW+!F;FW9w@L2Jb(utu-jl>6 zKSX7fLR2yXs?>j1UlCsE#|7|Wf_^k@{mVw7CNHJM{jfWKMp;gSy!h4z3*}!Nnvngt z?NZ}f;tOXERpb<)v%qKZkMRI($VrS}(n59v2&!&b0e-yE{Xyb*0TuXiijQw+&gi1x=@Q`LVT&kh%n zB18Y+sR5 zS&Z(Ni$<&4`Gdp%vS0PA*%`@KruB2p$%|d$)i)wJBS3PcM{6xAz@5G;zc`<8L!g5Fqf zqo;}Ruc~Soh2}?U<}e#D*r3-jyr_GAp8cB^g|@;Ez${W)WURd|(yI88MR$i6;jmQ= zSQ#O4h^QuijqM(c_ZRc~-R^P4Fik@a|2y7n7S`g_!&myJ`f$MIv670_zPhuT+N5i}Tz(2b1rhtg`@m2$)%o)!>TP#mp;e)Fsq1?o z;Q5Q!e_nJW9SGhzJoNn*_|*`<`Y{@LJS=_I*sGPgc4ykyb92jvApdbm-2T$|_Mc1; zeVFqNcR$T<*`Xi4w_!j%%<<>T5jntY3vx4t2MXRq-KKr`M|JlZf9mC>1$?14c3%_M zUsF+ymt!j8E2|2KAo?2SKvJ>Fha@kjB9L8P67KIWtygJc$au~dA(mtc26;S)BE;& zRd7#cy1Dq=&Jqlf0Tj)cH)mm?Ot7odZ;Y5m4)az4^~X=Mh5FII#vlpQu5XQgvaq!C zjnNmF(U!QnHjL11RYBW}RI+KZH|m>JjGX>zFbVkl3w&z(4-TjfSnYsMqhU2M6;x*%r=2w;=-@hPf3t!rt&xv5MnQm*OE$hYxGWJK^dPK83fIM1Nrzi)q_O%CK2sFMk_yOgNMqVXiZ z@7y$gf16=CqrLapOWMVkX5L>)%xZD$x=`-P=>`)m`u*u5IkqO$_NGobPyH!CgL~(4 zf42G^6%aVAj7ch3eGIGX{SPr$rWJ^zT330{Tjy%?_l=*#X)OhCs){y93Um8>o%#*- zu^HB}uh{7&r3|ymQ=aTYe4g1VefunZKcqb#rtA5w(^Wqrc@l)KeG?T0z7`Ir*q%5^ zgo0d&q5y$O#lyR^&B_5NN~|mR5)v-i6RL>$xS(x+k5qlcwGI47iYQQ%ykER&f(KLp zU|UL8I!?puX}`w;?Z3aNg~R0525&FEH%jr5fKDq9Jt%_d?4~JC=0A^N=mfwL8NRqN z!RJZG!Vx$-Jx_bOu1e^>bdpFZhS_U&=!3LB8oJ4FWJ zBLF>9hUG6FyNB4(9;HOs*l%1zOI*Fcg4*UhKfZXZKXdE)2qw@=?&jp9H*+BmHSGGj zk1kn)>lbQF=-5G?#C?l^ zl8Rg`3pSDzYy(xcChzA_^0)J7wc(@pH$=jRuTr9Agp4>%mbDZ1RVug5Z!e|vr#R4d z3tZX|ElXPlmYP7cofG=E@9(Sdm(9J*)5=$;@v!s!C}_BnztJd+x~;6&GQb%-E&o?! zp3%`*jb$0Gi1r36h~swn1E*kF0$b#*ttA9;??q>fDLUrxfY_uNy}#CdeJ>)Zj!2N6 zkW6&x#Z+@)NGC+|$jd<*?Pm6uxeKRN?pi7Ub0~3(jY(C%8rQz+DHEuRbWj!om!0GkCeg^vM4N=L=t-Hi zP~pTwdCP~=VfGpaS7EXH_s$2o4)OhMN_lw(D3K5TboW+g#U#qH)R0ERFE?p|ybvOF z#!PI_Et=|+=}Vu^+uOvPn&!vFzP}&1S1T2a+3$;^FAr(Ri+;8~7DTmt)qy;GIaFMz& zV6i%RF*hPzbL%^_@0T{qi80df)96QMb-il#7YBu7<#G4;r36yLsGkp2>`K3-Qq;F4 z2TcB2i37t?i>*F6vKvK+{NMl8&~EP&H-NO*R}8`TP)>bu+j5osO2kjA1TN(Qdq;5N zpV09b*#+gTugivRvqf$}%!lmR4u4j@a0;EI=Tq{QEYwPMdE2&5nKssN7pTQ4G@<<% zx~+DbZD07YMlSK#;=fX=1IOj=%w|Tj6v5)9AAT%GXy_Yg#>4`5fv(n2@Jra)&^ps0 z2dV0<`;)*+B}|Ew(VbCp9NA(*e3C{3^7zfOYcjFcY|Fgt+U$^e$IN6p%3FfoXS|9O@yzOXMWGE%zMpYu0+Q)E!&l|I|b{Y>w0Muieu$yu2 zLadV39j^x~*B_kL>YW2-iM3OE=n~A6%9D@iM7KGIH&lF46vJ5d$Dqw!+gNwQH(VFXhSo+BO2K5{XXR_EPmEaA+683boYFZE;F6T@jO;TDFkgUMhy_OlcYxV~V?N`X--P(Y_N&0l? zmhPbCn~6qr{0M4+GM$?pB+Ka>9Sd%uqaR-76~Quv^BW&XCpf7q2bF>{jI?(}hxk;PhE^e8rM!Fj^d#Pi~#Hh;NW*rvIV{di!J5&%_Gf zCnk2Pn>VyEX(ejPgg(fap6|vIL(g^eZO6;54J>MyV)Rl6!aM2Gpd2dTb=mpw<1cdt zilqcBK;Bz|2BXjzJu+JBk+^LnAzhfBHKIPH;!@R9Jz^|mYdwWFhfe4hon4*Has{ay z^skwNl)(nFYMa5yW!rEQO}+QLt96X@zUTbS+^;1{XFWkg|5Fj1cZ=Z1cfGvMN5P^L|zYVtyPtYc>>cfn`g?$p*=lbqgL+wc8-LtjV$^0nd znWtQ@e76_&?&o4t;5+O7@vK(p!p^xu7>_xa#RiNpd)0IIcIbhS87j^J&X|9Z2*3ZT zq4*EMp7aC$523CAM$Wx0d z0tr}RcTBu>fTli9DS^gw9@3E2x9PB-Y`~{maw7yYr#7VoQqdNLXbvSXGAbzCCJlzC zLFUcV`a269N{hTj`ie8=p8AH_=DnVWqF{r~gh-3sgYta}g&^FUX|O;qmvGy)DzuX{cNr$LN<|4@gP zh}3Ssb6vLhEvdiRHs&%sM0aD_Ox>DZ@yI_cBeSoo`)yxBpeyDcHZ-T^v}v`qwLLl* zbX-ZBdYyUw`@^h-NZ;4T7RBRxETYYKQwZeGC!f1CQ6|x75*U%6HwQI|Vsd>VfsJ|| z3=j1H_DvE#+nJ;D#`c$Q5yOGGpunp-qg18hIVw_G&qiOx$~gNsej7*sc$LebPK29) z2GC=OGUSQX0`9Arn^#qQKxwX>Eax=+$f?rzV~uglsUjfM17h7p)C0@iqb*q9ddujs z)AJh``y&F!KP5}&thv*j=^+PRj6{~fsyrBMU2SE(g-Q*0F#Zm%sL@wmC%v8T@w{9` zNJ%~a;3oTKejL5CsO3;}d&KBvxp;as4b{q%B>CN?Zz$=%{wCgm!~|YXmkANd!!0{$ zO4Ge42UTL?Oq0&irnv>&8o=MT)Pk=J^ntqyg>EE#Vbl2D`hN!@PcJrY@74lG zV$&*`HVulG9Az-^sk;trX01jWg|l%e=}?U=#vpCo96A%S4p$}yfmu6AB_h?{>f!j( zzT!6KiH+?jzCf+%&*cT&*f;57MqPK5fF$HG(Zl;2nhcx!jM>c&ecp4K(qjd(DaxxI z%`^BlDO+l5IB!kq+P&|GWs_Z40_$LPG5@KWZGK0UW+fWF{|IwG31Vve+_I7kx6@;@ z#v=Bq-WRG2ur!Dw610B=*A)EZBRQnP0wf4Nd?WPJ)YTn@y@`C?j(V`~O+--H^rb(1 zzX^n;MlfF;Z&*UF8ZnV-5{2na`G0)2n{q50Q=b7{>Ywu_5y{8kBpnFw(pbK3@Oy0- z7wT@(2sPrl&;|~1KJ^nxn9@K5g#Mlw$$S5J@1hZ`WRyxRh+{xT7-t#K1X1B6a;YKr zbKzbvi`f$6UwGvroLtIh@`f3#g;gEsi`Qi>ws}1-F&QBjwDnjnt645v$sbnPad~R{ z(9@nGuRd#cN^GpFAd0CN`hf9`Z7g+S_+!K~5{}cDftFbx_ zrkC3)xk3RWiOX}_OQ!R}hE1b4BY;kBjknxOjQ26QAOAeD-f*=`77u-G@xpMD>ixgg$pzgK zB~k@zl_bUPwuC3cL7sLCiqA0`v%cI?>#@FHxvz?0RUykxBugK4;XzDSuTXvE?@lR* zz)t({T`i5K8Vfv#2djj_6PE>?CcNS+*EkU|D*pejYx<_D0*ck>v6~v*h&MQw524bh4>ln!gVsvPp^~4!we4yCz8ae=KZ2U*#4`UGh0O`|Fz)$yF~|2E9V!; zg$!>;roUX?@J()VHW*vs5nLvp{!i*P0qkHg0&2Z(%@r8WrR_8~r>xlLtXY|N#Ntj6 z&*^ni?cCHpdLTkj4$UGo^$oXh30Ghj3;HwF2I6fRZ0yLELO;+0@HtV&TAWWkeaqGr zv*SPT2F|AN4{GC;z>l8x+W*sNS>PR-dF@(*!8E2M{zoV6J7Nj z+x>wfV&9Tbwk~RF-@+J&Poux}_!}d-YGC-`Z;cR*)A*5dkyUZ9jsCyiOQV70)H1$z z1oukiSZmZ1X>Z+_+!u9)@9FMh97~jO9||+3U6)0eI3IO`BcyC-|2mBI08rPp>+MeZ ze7_pnL(o=hgqSMEox?xWDE?zCX&Uk>;4dxti*!q0Y~gGTCCOjCQA!CC8GMB8%FYnZ zmIN}_4p`o2M*ogOKVwyOb1CH{OjpJRj|cfkD`RRNWJ zMBVA8JV_!VH|X+@2*K&_(C)(@IewFY3<2hV;+%t{#q$SYcT5q>=@}=TG`N+|?e>hyE$k;iBp^fImMRhes|TjPmD{J7^vk=267XQ`JJ9OL|JrFL39n zv^{Zn9^ByKR#o8Ie-3BpiLT*VG@K%(%Q?MIH##hY^S1Zi9uT6&V5;8;KI6?NJ3pM& z2ax={kmM|o6ekjTX){HX@D=W{hN12DEI>H8w9@`LOph%I+uUMACrdFHBd$wzk7TP! zxjV--h`wbWX-})xjyU#BJ>occ}qd! zcVKS*?sRQs6DvjqXZDs{R{mDX)8LRhWmra?Hkq#O~65Hv`jd*$sR*Y(hEk1dsANP;~OJb8!H+4sGW!hP;~er z8;M!q6aY99i;jylAF*#aj83pJ-~HA+Jlvk7BBb6`dLg>@Bq`}d>MT?*c!ce8ZCz7p zJ;9_urujM7r`Y)c7P)q4|2HPb_d2SXzvY-Ls=O}D= z86I=KBN(T=zMMBKLFH)*r=-L3x- zC}QU2Sb7@1ynAYs3?5cYKY+v11( zFqwBfKc__*hq?(qv3Q{xKTl<3Rr0O}QegwU@e(SdfUarbN58FFYz44LeeucjnD8IH_&@+Te z5W>n2x|{3XyajoymyfGln@Tl&jqOv!DxjYjNXZ*q|7X#?cr6pB9%mIp>BC}LBE&iRwIb}8*I^izUR8k7XKL0D?~6>8I~~{ES{OOzozM0; za>1v>)lgb|F4_v%rhFf#41 z^Qs9jTGVf|Miu9C7Q4+?)6_zLNbz~hX_Ox;$7pIu=j9L$Th+o0FoT`~x2xi~s59ij zumj2c)|Katx<;Fo+$Y3VfMq8RP3Bgc{`+_IL#hhnZ!!)IRcHz>bijFuxa<;IZp!{L zsHdnUyTfLxJ!bpM8{VrO8S~eiLJ#muNayV_(VW&&`BMpCtpyNV1UgS`5tob!ls?Zp z7pmbdA+`XT-RS&!KN3MIqS@)g?wQWV5*jR)f>{>#Xh=E@W_gxjkqHw?_k{HCHh?r= z$ZMfQV9M-s<=gi^c)pcP z{mNzz`j2cw_{nqt_ABjdb}e1mwQAD>+C_=JMDH4cDEM~~(Xev%2(9KN4s!svW-nk@ zFA{v3t1`Mbr4)`~gv*C?3YqFh)0KcD)cFeqzoC(wRzL`~QcJP*8?Se42%7XpKeqH% zJk^4d6(iuc*{gZu+`*sCV0hWWsX-Wxy6w3K@V?sbQ+L)ui9V1Uv(`Jry1IRS2cje%<;VJz za;Peg8N6@|d55nghn7CJ6l*DJVYhkqsL3j<*{$W;uZ^*PL91_h_`f>)%CM-u=v_*X z2I(3=Q9`=wAl=1GgSBt<#~1r-Jf!8`u$|9-gN?sLD) zInOhDuU%{J^{#iXz0WDb-$}l-Bs1Vhg3I?l=Q4cyIXcZ5og|Bq()KM8ltpP=-Q%z0 zFNI7a$QbVlHG&pnuA5c4J~doYUr$_M1%*a>qzy;TH+u@7>r(g9w16YiL9y`oGrwyx zPOG<$aj4=A#}Shwjkhii6lp&)vsDH?lFYtYq$p6OJjAVueTO8QV|DcLv{A>{PzT{_ zeW{eMo3qiGpo{zzIcfXJ^w*Sa$D3W#gg}1J(xupWep5YVhU2?tm1bvO7HHmNAAS+F zLUF?sOH`G(#}u2n3oB4Si2VKqsoDS2yO>hZ@RqZp@+67{$eA#I zuO?S&Wjmmpxe0f&QDum$AxalXU_rmjbuD&@Pbep>hP;i?oWFN3i@2S0Izz0sNlk|w zRxp1bvFrIsoXJ%NZZSicLs$101}OyTN9|e>O75Mu&-&y49)2;X0BEvYY~jYUV#mgH zOGd9S(_@#X2CK2Ae#<_xCe}^MGqA$ZEclFQj#ZQ@0y%`T!=V>Kb6L3Eqc{yRcv~`| z4Fy=t$h{se>13qQ$m|L?Kk8k|qufOOOwOKoNMj^|$YH=OdrL`F8;cym!(bi*>ZVMD zvt#5+L?73)IMFkW+x5?g;uRpG9fEjx50n8l{52iT|s{gm8k-sS)d@`&D) zyta~{Humy)d<2*}Acq2C-DSDo$u)xXF-Q?CVxD=1i&9HthY>?PmPeWB%q>iLf*7cw z!IBz(l_qfc3@tc)w?^%;tKy|ZRiuvNDSJnlIY4sy8(FFrr;_?kX8YmDYfP5#rvL?X zQfEvK28p>GU0=Rw^;E%@m5cu_X=VOVGKnJdj;fjB%A7vV_5+T&m_en7LG*@?J^k}5 z+AU0~zss?<#{xPFWg6)fz;`?HkgV3fK4W9&0~&-JTBi5Fm*gXT=WT>F;nKhJLRbvo z?jzhIygF3qyAf6Uyvjfcq&S=sJ^o4y@t}3!PA#WgkoK*a+otGgPg(YzO<}sl>$}|b ziC`3!GT_N>M5F>JhCe__u|UbXB>#9fH+;D{7*Cv#sA0S zxoivhgW>ntL$uC4b59sN(BJ4+x~f+9Jj;88^g#RYN@JA+);LUl_7IB@a)8ayIq6PrglM zkJuJJ)jA`{WspaI!!}N;M-DyZb~~iFPur(Dip{}b)G3mO!%?4~T&32@ySDIj^LxXC zyTQ>9a@t({y9~6<_T;?(zQJF+d!?-B82kf4C2;--mHn!$-i!&ky+&gFjF3&LMrNb) zIGg)05hDZPcL+`Co5X;Hy7Ywzofn_@gj492m@5sGhdp90d9Pe!f(3(6pGcIB-hhvS zI8+eti!v9pD90E?=A@*P^o%0Q6G3I*eF0itl;8<#U(T!@VUtBC>!=WcnYy}v(B-dP zFm=6}bNVc30Fjk<{Iu9As^%U#4qT`Btf#7(CGPcN%-8Q-oy$vYq-v1A3~|B+Y;sp-Bw1 z1kV@S%p7e$bJt-qnj8|h${Sm)nJlL@%oNO> z!nZ!qMr+e1IIfI$#ps)uPrE9U5bb&)?6u{qlb>wAx`CG;HRmxFd~G}0Y|g7vJJ1GN zz8-boN?zsbhKeAw@VaTTdyl2|8R_PQCR&)Kt~Re^3hhhwKcTx=zp$FE7cv%Mzwge+ zqH}%{5n>EYs5Ylet?Qh>Ae^oEGn}-7YZ#O8+@PPdRsoo-*mEF}8Mdg6jmRa9JnkfY zs3qcNrIzb&TgHT*_J|Z&ZU?)0r>cW^E=0Vcx`+q<6%gtP!q+x^^Zh#T!z(S>Su*Hv zTqX|%bWz&DTI~+=)d189Y;qAO=Qy zqvJkYU02aN`jbuI1!$SvBJ{Eyd_)|rG1LFj&Aj#~ls-KAOMOWJ5ywg*Xv|R`V3esu zo3Md!(_r&4{I4qY5W~Bbp@Vn$5wkvL#|;cS5;v9-`1hwSP{mdIj2T^AC|9WE*y*(v z;6&*k$k_b)weJ0W;P+~@$&h>S<1@T{_f~h~B8Om>$DOrawANHj;H1hD&-TkO>J4o) zeJe z@|VRx@m(_UgXypJCu0jXK%7T0|9Y9u&(0YW-Y)UzLs=WB?q0j{w+xce@hbtj{rSY{ z-J(aeJ`21tCcu0vg2oIj%{Arv=wpJa!b86J$8bK&!~_8>JFy6V8Q;WKbiqJd#hEIs&P*=%NMGHUbNpK-b7I zLa9Ho0kWNpt3J`YOd%=Ggtuo;dZ#utLsxsbCbF5?Mp$gR4@Qr%0=PlO0X3i`GV*WF zT=sLW=3okTH1m_>Ss+(&zvqcqNXnSG86Da=J67Bx-Xi&@%h&2LdQbfnk>GYPiAdfg zm_^SFuAGaScyGJlVhYdKheN8J%W*XLzdDXZzS8|3QQ)qx$Nzd|Idlr!S-I_Lrjf}U zU!NN3=!Lb%v8^*r91>4gkXvDQ8m$r1$_t^7) zy=*i-oW9~pmR=Q@+RS%TJ<#LE6#JU4=xNxYV{lIroJtR9@p_BWv-qHk^lNyT{Gn&` z+4oX{Alj9KUQwpRpfh1qMbMI0kY-`E+6UZi=*q_7aPdKDeeA+CHN)C+RGJD)F2n%h zO*6?zj2Z41O(9yuWSaw!>PlOJ2|EdkCYH(RPNq&KQ+UKS3~$iN^8@c#V2KFEnvVSl z5n56tdFb1j`EuyVLm1>q=cT{f`Q6^3edf;Ig14Xs;_B_?O!q~D|KZdz z6AXfSO{#EVBs9xA6%R(O4+t>GN|GS#V`FvHoNM-P4H#pg^vF)PO{E^3Z7HXSwKfL5 zFC(@m%;)bxSk#mFY^TSojMZcD+)u`r3ka*n_-!4EMtj)JbYqqIxww8R(RbU32gHCC z>4J!!6+b7YhL67w--6FbvGaaK)@AdZJ*pjZrzc|Anf`B$f4JxZ3iHk1N9#v$`co5F z*CG7SMCE=qWxa;iZEX3We~WKE%EecCcwoXbzm`A(4Sn5I?3kPPKc@Xc7;%k~=#VaA z#dNCb1XLy{%yL5=c+|BYuXS1{MneWE3ECfJRG1o8E4rh3^;K6ZkIVCr6!KyX)f1jQ zdJPDBd>t{j$>emuy$N|3#E?A_-xYHK2n^E3fl-R6JS9`;*wUC0T&QbrhYtv^EbXYU zPzFwlgUICka0|uOa(k1VeOvt>=))PWs{is>-R3-YethS4BZ^kkxba~;pH|E$N=W=q z@Z{s<4&M?YER8VA53xvlUOPWZOR7Bv*F8dAw0Be7sI>o6qDs)jio7;{NwafMjAtaE_`wB@ZC33j_9EkqoRh#OhQ)}0qNioKzJQwXP6;4OwOvru zjc#gyqw~2_6sX8z@bNto_M2I_2I-{&(QhgS$(Gg7giq07_Nesv}(xzOYBZ_`;Fq>RJ7t@?UYm)~^f#N3^l+)of9C1SH; zvxgUlrred&sLi%t+A@w(5UEkAur{`q?C9i3i01sO&9;ckDC5Uj>Uf#=*D=(C7mu6L`3dLq5XdM0%JQ&gnA39OeZBgHe* z$AbYe= z6`;vxsC|F)wD(STSwya1b@YX=82^>CaZu{XY)wfagr z-s&D25gDO3`Wk8U^kVV$Vj88uBO#y(YNg~WR%G+MbLiU4{AzI&BY`3gyCeDQchqT(Z5qVo-trN+>TFHK*f{L3%^z;O zl4QeERP^2+6xh97E&y{n2}8E}^yI(!I88q+T<=+COv9x-S6*hZ<5fOU6d63YM+Di9 z{+XJNT}cbQ3vHM;%u4VUoorHZQrN0zxak#b`G|L!H-~@6l>D?`1NY-61qLft!A#5# z|CtU9;xw1#jlF`QN3sniLs3xNWz%HjkpF;zS6*m%8^3j;)mL@CF*XRc) zR%i5|2hzy&^9gPQuI_~g5qAyj*x~-m?hc3bv1G&KY_um9`*jKoqPmZB3uCw)DD9BnUY7o@X33y+8~+i z_hx##P`Ud_w?s<~MEZqd4Z(Ao19tJb2`AGOf?9%VdW^rlM%j(sKz_AKV>A0(pFCd) zgm=AQdXP_kr?BC7Z&fV9Z^WQ$67};RK|o*FvIJexurBZDWar5l3xsF;P1WrGC~0W7 zS$fI!gWB^(7d7yLnydxn2)6=oVhE4Dg%T~61X3QaZ=8~*koM+1RRvZ_wntQDp=ZH1 zqYABEvbFmslnoHA5olS{ICeC^^MPiR0}RYDP?h11N(yl(b=?E>>#v)^nPMgH*@Pz45U8}iyNNf1 zSHNT{(DJ~q7MY4S@1h$^vruNJ8K*A-zxu^1103m*L&V(lcY+IOs|my+ZTn(o$CeWd z%L>S-(Xw9}-#KFhaKCar?La>0_|i-oSERPe)%nW7zZkvr!ua^;*XoN<_# zm3M%`s+Ugpgh5J06PTsjzA3vicG0o%?KH0$b1Z&ektoT}D+X13obh$^Txr4>6YaTD zXaEP7)9>$97FmDhu&{BGMdcG;5oFN&TjnCh{j zgi_`=2iQryS^EFb(?7&;NY3RH?~fE(m@&}4f$VE9|H3r=U)i;d@U8x#a)@0eU#(4M zVEZAd81yshhl?+xi*R+`@z7%-JE-f2T(F0hQ<)sS!!vM5@sOB_k}r$bHHs`l8pCb1 z7~VxFvDDup9_*vXajuw~$=*u};XsaEuf!H6#uOWHgwttrS~Y~2IGl#L*&O4}NRTpa z0wyV48#so!aYlOdYs_MYZ(8(Q#9H{qKKb$|HG$^9<2%N1%l-9+s#sVAI^z3aj27^0 z`p!5bez#LQeN|-_mdVgKmT{d5`Yy{F@G=H}G&=Ak!qPHVW#pYPTC{@w0aKEhUcKRj ztHSj%a;0YzAp0JehT-s_gdTjd;x;hF^>Hh`zo?%J2=WG!eGjKvqEW)vsJU7}!(ZXQ zTQVvR-@~%sqdrY~c8gUOJ6+RM{xoH-Dv75?PdktW-8r)6jWLHx2`w047eFSX^X~lnA_og)a4QK z^6xsG*rMOHYTt7-h1p3_uXir#GnaNEtq+M$E+}1c%U)5+#K}2rE?HGUG=EKNPdB{w zQJ_)s+p&_MGf81?pETKUfPu=yPlr&43S?NH%d#9=IA)UmE6d&7nB=-+bS-b#uC%M|uVMa}X+eRrKV$c0E+ zEba0vhXoZt4F?S~q%)k$s3~Z%iFLoGnTLM~8Cux9XJk9Rq{K<8ac8`;3^G`aWqdSH zdbbATof-46H^>8X1Z5~I3+6d$W0#DuUs)w+h#LaQE#w)eXTY%)X4ILkdv|^*J!d&w zUUa#yCmWm)R<$a|ixOJoLHYPi)sE%iyAbw2>jCG(sw%BYUuM@7M8`#&1JzrKG+0U0 z>E4Ry(60xV!*sJg;qVLH>TQ&jCg<0ysJLluMiLeX)eI#!!s@lTXDleK+Mis~v7Oc^T;&z!Pw!HgwDA&p1&H0sTf?WxR zI^m9Fy;)mnh}6-6dEq7{=mVn1qMm7fZsOTQs^Ww_lAk`CppAR*RlZ<} zswaWx`l>Rv>v~d&2Cqz^R9(2C9&O9R#^;hXnnseToth}!`q;*hnjCzc$_!V=|=W9)t&&R(ktrNH>NkqKH zZHYkx+ME-HIOM>x2zt2D5~Rsq8_VEf4*dL~NEAbek*pMS$u$(!2;yU5D%}X)O2G)+O-;6xnVEr-i6}86^k|PGg zGpO?Ji_P?U0f%C!lrJ9Qj`XH*dQ8*=Nv$)<|K8TX$LvsGf;VvgYfcjOf5nbsVbsSQ zuz&SmKMMUD18)5kdxjhC)zeHN9!w6AOVqM+_iFN|6e&TY7zpRC-DN)^+Nug^VeNT& zyD~hr0>cQ*4n^nTwN84YG_u*$8ME(4Wf3M;?nJP?KZ_EgifOn`W zN8kL%8#7E`h;~-B5xEcyq&9sA)ftJp$z*pCv-@I)`GeXN{#9SkjiEh1HSXigHi0|o zG=`|QE78sa-S}1u-mYrs@|pONaN4i(C5l(_uKAVptC0TDZ}R-GRfQ_{4nz3elQtnq z%WfKm2S^$TrE-W`U>X-MY=^2o?%WXi@G9Km+O9uf22Vl6^OEcpM}3?=S&&(U*WJmM zjix367{u8Qcc{zEu-`<_jh3t^-zmp?L>NZuLg17e$o{W+T9}rtquG5V{L?-xPuEm#<&p1H(-Rnl$rfrL@3EPqV z9rj=SuTlmm?!e;C`$=0c>SD`tq|ta=hw_9N72|n5nCG|A^|1>(zvip==WD;dque+| zi}h)V)$7q7T|n|sp^lJeY6iBwt3CxaC)mS`GL>T*;sLcmrxROyM_UwXp!ZRDi|b4p zN`W)(%a7;?%dr^Wn~W&MU^Bo}CHunx!YHumEuNpg4n~R2o~0quxhI!n?dk}#i$KOx z5{7sH^YScW(a%*L9PXvCU@B09@blhAd* zZRj@Ls}dzK%@<`{Tr*a)bV%09R|oDfd9B<2+roRD#eptUA}Dvla?%H6<{2KBaKNH{ zDL>W`kOmqc?DL;`;WR(3g*dY~8A+p!XB)wDxkAnIv2ORo^7#cNp>6#eyX(O}&Zul7 zWI8&q(;wyI_3CUsPZh{;#NwKrt($d2Mh3oV&mSKYa9}ruL!5v5-}g}n{CO}iy!k)~ z*WiD$FDFlf>HsQ&c*5WHa|>zo<-6hgXmd}OM|`+L2S}r_&KNM*Gri!b2?~TTo5CFg zRnZRE9+ArFmh#S7+!k~JHK^W#wxg1}Og!goXx<&R?-w1}Xw%I$P^w?_!D{T?M`u>YUYM8r>t!p+$|zk7DUH5Gg-J9~We#-mzqirr~id zRHlTz);VPel}$2Q0{Nx0Af6hEYwACWVO!1 z^w$jh2QRQ~yY9oi%dNQ*?zP4lr}$wp1}in{e|-491%)H3(?TeZ`spk)2W)q{&UiXa z%XfeWBy{WQI34M@S#|XPN7Cs8T8s`ax>bd9(OmBr~Pd zI(e0@MD68dIexD6Xc^>Li(ALRjdY)g_|%JK;j4`@i*vE%)W*pd8I$)>@y!{8Zc}Bj zf^hgJ@*k-2t=`CZVS_eIth#U`%^v6P{_tGwiG|9&ylWvgjb2FF-PomwenU?PpH&eNiCjYK~0BV z@OX00koUu-!13pM+=4!30^N<34)1c+z@2V&lWK=<)8{Zu$k;&=}1y*Y_5e_o!#s*K~o z;}CbL!p}?S7N*UyJ}^KGT$PX}X4sTm`*$I1FG`TijlxC^{0=Bm{VV|OV56!wO zwKMB${*$R;1W)F!ViI!Aj@YgF6thMXBI28k6ln!r7=1ON3m1j_mnx!uQcT8WgHufi zKMf1wC(q<`oEsq)@}nWl382a6l0TpNs;WrUBhE&+%{b_5o#zp!v8@WJ?hWQ{Lbc8= zXs)TM+DUP-Th(~BO7BKPb}>{fghUNzNI1;-odceR)?sCZz}rUScn8KA8p2W3<=0z= z+5TcuxtW{&p>sPl3GU2u7gIll3<4XIqh|n5nJS2$d!BZu{d1tIh)nli1}$oCm#Y$T zt&Ebc`xr_EL@)KHk~iJk>e0M0)8%mp)NnI5(fD1&AtmoYB{674E=1?}r4m=!-oDKV z4-?NA1(Sb}vZFx!&{5QoN?7A5dP95YU881Bi&YTFA=V!L(we($jyT9E98FMeIJo z1JOyEz}4zB!r{m2bYyMp4#q`V=ecS;dwAA$A@0YFp2nN5ZN;g-!%MOIbo{KSJ5k%@ zaDX;#MUxw0*k%p8n~SX*we&-YeY8F&K{gcI^+@qeZdO>0IoV>|#+8krtq<7T(sqlq?0eQ?2?NAlLNKuX2 z>U$S+5=9Hdj$x$EdoTgZ*&O(zZpW%x31K{mP?5D}NBWv%67rAdm_?>0fG$6#6mGWF zJMY zUc%0xt+5_nE)SX)x6=`GdimmlOGp~cmO}Q3!yk=@`O3=aV^6&JJ#4!B&Ygn|ee}ve z=MHfvW7iDqL`(FW+7+X78)k+qv<fZsF;uZcz^9|3aSn6pl}H7eyZuG}Ynme=B+ZiX1X1fEQL^d&jKMpYC-_^09?rP5@VfoK+j% z4Wxd>T>kB~(ZG}QJYc%qnf`Ed#`nolH@|B6etG@R&*`3fLS?Z-D zAiXA_v_z14^LyX_{dm9JweGrKvd-Q!=b4#jW|yopaeBIHG?e!!K_C!~hPtu=2y`6) zfv(x!Bqh#J{NQ~E0+C$mX&b3*Y;0UzU5SZ_5$Egc>yM9*_xAQiMn;B)hAb^DFD@=h zN=lrZoR*fBnwpyI?d<^oC@d`O@9$SqQc_n}*V59GkdR1AOM^fl*4EY#5D1M%_ib#< z%*-H>NDv5)#bS{g8*_7WYiny88+{vnp&$?hPBaDy-Pl03wzeJ}9d&ec$jHd_g+k=y zs{w-Fv59vvOMfrKOBpycG_w{PEi zdwZXrp6cuCV=x#H9J#x@o1dSbm6he^=a-wCYh+|ZG-zUMveAb`_HDo+AS4`u41K=Q z*9ReDAp81|@V>r{P~?ANrwGbVepLYswk(N%8@Qn>60zpDUL*Y)+ z()06IE%Wm!Df9F5EpQ~VB}Ezzf}}Ws?sG=&5N)q%C@UBR&h7phb!Spw4)s}LXA!ySCRRTY&u)ZL6Q79CO9fA<(A+}GqNt|p6YR@wbw^-k+n6geq76bBc` z@ztICh>hD!Hb$JP);gFvNw`h7ES_AH`MG;GH?7sNihnr#cQ9ZzyT?`|hc^nfQcspH z8^I8k`#MRM+~B~CR?iyKR=MYA>JFnV66qiE-8w|77CI15G-{lDroIGo$6x@IuNG>}&rq|A>0s(ue0ihG zVOa^+S+IgfNOJ_^4LF%|i@6QEMO zv-P(`?N_mA`kM#S76CSh%#x{F|7K~lktUwgZ)ep|+=w{ zA-&gH23`;KuPh#!?`as$J)5bnd4s+GJZN$Mc>(*fH%g7ebSS6Spi>Tt1&#b#wT94x zCXo6@aRQCHs=^Xhf?q?`likR_?X!I$ZQ_K+r-CEj;rUbndZ7sj?+^G~c6k?; z)z)q^*uxWK8ySlm-X&^P&taq|kFWgu^T$j~cg*^~k6mqbt4e)E(S2E=t(ZEgjk$fd zY``uLYy!^%zw-gie0%`GeynX@AGU$H?0<#loMZw*y2&NVxO)}usm1q=?j@>*8l}82 zify2lgifC(m_9S41p-Ud5*)u`8%${GFnn}cyN}}<1SO$=f&N9v24}C8dV*s&w!!q$ zHt_2rsc0ry*?`r9T|d6NALH^%x%)pZ=JR25%(XK)0Hy5@i<=BCjJ35}AjiC+!>0d-SfI=urOUm4r$R%Td1rRoEybIQC#0 za(+cC7`*wD+RocAwu(1P+L14E+q$$#^+X|VsUw`|G8NI%%pdwk=}#n>(rWp$7zB1I08Z@1N=>`)Tr?F?#N=*VCDv;4!)0{9bwBGmL0_UTd2=XH1BsZgM}i zK`$ZhD4ODG(oGXAg z6QG*-%T8*0~hF-P5I+{3)10fJ}b$I?KdcRn3s2 z*v?0#RE@sTI?B24Y@ha;%yO~qcW@O7sT!NfP2I?tRv{a^@9>Mn!#<#35Qr62i1|QO|yg&aD{v`K|F0?0lk*- zXI3K*ER*Q7asMQ#wC50_9?DtjETq08zK@D1!ickYmdrI}=lV1xk=LB~&$IhvgFml* z1&z-6bH7(Ub-12iK>l{Zb3z}2C=B+eEE~|(U)$#yP#Zx{`C{DVsWMfxVCvDP63?rC zua12Yx@h78I;I{V?Mz%vUcE<5bu%@_)ESnyu*^Q-9N-JC6AFTSGfP{+RTcDN8;sN{ z{&v3__A`4k4Z?&}1P+h<(E_x2tb9gEn2Jf9UWT?8{t@{C)lJrt(QWI^?0UeT$^b4c zlj#MQZE;4qNCiV=Wd!9OkS%L56nH;CsbP{QxHfN=+_z5yx5o{dKHigrK4Y0M4!yTn zXL5!=@j|Ix4P!rfk24B5Z{;rC8=aw)|C~ZMLpRZwT?{{Z!|3GW{A#T^+VVjWMU6;0 z@5CB!_6%xKG9BR((a<_DJd#z;B=ELt|7gfoDwj0-mK+oxsiR!C(62CHI!QK8S!8Cf z=vAhc^tP6fW(+mpu3-H~RyXHeR8D4NZgovZ7v0zXEim*3tToBf15p3_;RoU@ys7V&!q!F= zns-tuzv?&Do-@MR)v7$j;E1;C8PFgdwu+s8F2n`(N=trI5x{k|-Z{^D3Vl~@grfwA zN6QPjpB*3Wl!b|eu0fK6Tqo~(PHHn$sK0b))zo@UecONMPHXcCnX-cHN%-=-MkgO< zAbt0{RZF?@91Stg2_vjsvnZMl{y~T5?g82%Z8sBi=la79DQFDose+@CxWNB2r@O-9g{Zrv4uW`keVUsmDkB?EMX?Rdne%|xk%+vq7T zj_`QDrIL(P5he?cV4a8EarA#QztJe9OIz@w`r&sIZZ0H?ssAZ{H#~B4Wt6b=^}`Mk zX;}n%qRye%6@#56`Jx_%RUoIDjHpZA)MBCCH$7Xp#+RPgjo+o6On0OLrQgrBqJ1mP zQtBL#jS&0*`ugyt86`-BLn;D0`=FF}SQ8||9aF;p<}7f`2Jnx;4t=~s>V5mAx)T&6 z!S#QXCWXSi0wrhQ{zGJ!T_Rp0(2gFwgGD#lm>}(#$D9!*_j-a>4|aKEOgL=kfi7k1 zH~f8&HY_uU=bR*>{bq6i)g@?#>(l=lTyxrDIVX8a;lr~}UjI&dh)o;)M+BM^;A$Z2 zn(aLOZmtnjowx5*QU74F8f zTeG!2Q^-bikxfRtru2>>c-v+EPaq0;6fq|}ZchI@7`GqaqWFeC7taRw>KvYY`S&&* zk!0oV^4A8S=kNt(Grb?h8wu+DH`d!eiI<7)XiPcm~2{I19 z+`^ZiCPK=#B%qBl0isYBnS2rfdOTZM*=RLosWWNmmbJUkhwB$;to||@we>H{>zos} z7?6v*PEwwzpVtyk1uJnd=IgYN1*(hy34lnz*uZr_B z>9&f0zc5`%9Xh`w5v#z*AA$9`L*4d)lciD2+e5(tSdGbet{^Y;mCV={w8nWo{%Q1& zde=glKMzHJ>H*6gC9JkxNxiPs?Wx-6cP@%YVvciMUxJ@U4hhqjk{3npmXz6C%kC>C z)3HK4BCU__1AmQspal!eruZSCjK#LsBfvFdUy*Q={;l&F?`C!LH~9a%ES0Kcz`#Kt}FpIX&t z#In4Qe?k&^@DlWP3kM%W1G}}I6g7vNqmV3f?tLG84bwhjW$W0fY zscQQT&;CB88Gl07VDY4wrVz&G%tzg63}D=UWa}r*UisCmd|&oJf1_S^j6%EiNWsp6yHsw#4FGy`VII@z+UWEFV!*2( zGZBmhW$IsI<$(p8QcqIb(Y#xjsJGjxGF@rChHZ7S4PC9b?pd@*}c1 zGY#C3be_o*sU@3@_*K>9!T3?Yp|8O+rrM|9INt+O>4>p<0iskLRcQKEdP|AQ^>rYW=HsY0d+Z`3VZ!Z`t zer&he#pNn~KrxU}(Zn zi#giWZo2U?w4dL4VSF}@36|W(F})(7^-xDlV)E#+C*;e6h~W{bsRz-U{+fWMh)+wI zTI)TswAvR6@iuL~)HB~2rNy_KH4wjpYMg~C8|K`8R%ta{-ZoEuByT&#-I$RH?tUS% zzy>%(Vsj?HCUO!};+1!aBa9#OKkojp6J-3(ks}p+CTnQ%MN<>#{rghcV3x{^n#p1D z)$s4{q-7ok98xV?csSX9xsmfrErBBwWnz|#d0z5MJ%qmWI?_y?a?M6WJwjLO&9TUv zYiT5pXr-iWsmbl9Dr&=MN{y{YwY8E5iU-V&O~(H$wz$jGk9sp5K@U$bD2r?S1%sAf*nc*?~13^Q;!w&?4;IR^np=-kJx z9%=M7TA8MvWAl7lk88m9Td3zE7|nd!_64tniusJKgfj+HJE?Wt#~oylG)<%|2|iKM zxQoLI)o<=k<)Q11DCX%@K#Kl^<&|OZn6=B@GZ&}vW&-)++;LGO3}pPNz1Yo1XRI`; zoC>KHHL;OZ6D5eAs%8%*WpjOAMt{mu0IyhfL-HyP=!Fx=72ag>xt$B{_>RG?H=o|< zIEdCuR%oLGWdkXZ@zadLXQoeH54%GJZU;ie5Qr zD!>#?S*;=DaqG5g-|X?jTEX&Y;MGIHKV*N%q?_Meh)wStcwT?n@92|x%h`XLu-s|w ze>}4g`sKxaT9{p`dU=fS5yY+Y;T&B;?c}uz6yjZ#a~nOGuIO$lSl0V$W-`Zx=gik% zu^bG>dlR^$>pu)TFx-&w3);GxzY}_RPgsnK@FVFK3UQ}g4b9spu1tr&V_Ri~i6C#3 z;thiISD5Y$!B}zQS$)f3%(#cM32^xqH?CFhVr@w{1n|foue^aUhm9Hh#o58e5m<%qtAMDh%|g~Gl#;U zTo(Lt5jD$#)UWRh!7Ag4>IE2xv~+t-n7DTg#@9z33Wr2px4#y1izmiL%L@+G)#FV! z`BswQv|C;d4xzfi7!BZWr}}tW+I{ic=}2u`|Mn+~&9t@*+%=n?*Gc(6L!9p~7jH%C zX8{yg*ew6>X2sTO3b5M@mgOgVG;_#D9lMiOhGjmO^5H(cqAaYv?*3a9_lfbR%uH*;+*+o$ z)D)90CauYDd}n@cH#*p4GyCh8pC4aSArtf-i#CP3A|6HFv1)K$1jMGi0>9Y4m8+`* zGboK3dk~sV->wtHd)J2GjgpD!K&}a$(qqAs-7F2L>9r2v+IV8W8!wjl<;$%%wm7x%968T+3lH@NqtpB{DSAB|pF}%8`R! z=&2JmK&xOPw2!x{ek=XwHYTF2k~dF1J_hf=30H%fGjv6oK4FHBQBY3OQ_M|8ymMX; z3RAaqX$DO6S#=30Hj|6^j%Uesm6Op&jcZ#+%#Nyu?Ykj#jN0 zP<(LcEb1dP+qmu5H!q^Zo-G(W(4nmkH4X2_M8wA6AlLfpZ8N~kk6ikK8e)&AsmZuw zfNv_#7<#Hkd7f8*)tDPyD|rc$Cby63(+%I$2ebfsf`6vC-pqWCJ-3=7eK73MFjG%g zv85>jD!Pw+dq_>-{zg+dkcR_<^@>t39meAEUbydqE`jTM$xQ?sR|^CNoE1SWdXf1Zv1fQB^%MMnmZJ2Rn@vZnb9n z;i1pA4GLS13Bw}#io`NU)MfyYk`Oqy(#cf@wBy1y#A7;fn_W{~sG&9>6{ji``N&Ku$7V^isaURmS~`9)zt(7eZ0U-gxO458-bj)le)S=%D$`x>!& zFvDiBv+yZRDdPFlAgxtaJ*bf0mb71OIv`~9FQ?XHab~`zV7_OAjI^fCwDm92=DkU; z=HBVP-P`LR%JvF`cX6gS)s*i`kDKfG?RrgUj+bIWjBy0HbwPo5oGYU?w13-yf#+hB zsh(*IMRROHR}m9^D-9T97nH#HvMVB0^-js(d+SWH&R)N3*s#5*`3{3TKgl(B-cp}) zhHfWhU1h5vVB?e>15*cTn%zoK2ahEFDmF-d%9r{Ei{prMcHJ*e+AJeqZJWu6?oOcKF-k6> zYyk;2fRW`s)5Kw^>qpLa80NT-Rz5JOD6TqvYrYzK4yI{{X$#g3^llokqVz!o`&V-| z`ZYQ9Kh1tq1W?1E8Im#89F>IgyD30yOHcgcXTeqk-z}WfvXJCLxRE`bZrM+* zfxJc~!)zmpF4X9YfAMa;kF~q1Ke)EO_MB`vG{d1E4z0tpQ~C1d7w)X?%~-*h=LsFziL*o4vE9R zDJsgu|8qa0DrVqG@lP&HChRV*i#t(!XY1@s?TRHI(LaoS z6Len@oO^pSdClzpDfp^W0^0`~JL%M+HK7=#rUw}obd=k_ zeQ!mv5VSv^pQOZXiT`yw*y@!Y-6qQ-d^Je^X?yA`8gdbgHnlT1R*}|%N^YvbVIiL) zIp_lPo#HT)*Z#+;3bn%CDpP*hz@%pI!LOQ_K>t72M*g(os$%Na?7PO&Wx!6Uz~Tb~ zx^aJ-0{_RIM{ZYY)tjBK-D>S5osGiU;u9Q(Grd_fOe1hUnK@;8Pulc4Z)^Wvl+ct% z^R2j%{g;9AF0Q`!Qq^0b$>3WR=&+oiPomT1Kwkb%g{juqZCZ#{6PL;`JAvL)?Vhup zl$2;cArGvfc#9>tJHXm!Z9kkMtM8AyR-|yw5w4H~Pdt|*{$rqm!C9AUGclf*Ss2CR zvlQ~`SnJEb$e&Nt@}B6@gId&~qY5~V*nkph&}S54lL+4{lSURAtBiA{HK0@IWCCcJ z7f=Wu4x)f;+z-kS0vb%g2;ovglBh0iet31IQPZBa&w+@#@X+NaH=|Mh`pHjF3+bMw z*Fa%Htok?qb-)k)F&up9k`a6{)Gqds**gf$cK?89{rk>Z;4csg9NtEM!5*vWi9`q< z6<&SA9b5}-;}=%${qS;+oqaBTZS)}TMnWv-Q8dd1jjGZA=zVJm0PVLI`%U3u-}yb$|BP zhs{Xy$iBcGpcV0Og4yam;V%g%hKa_eG2o2kPRFCcd69g_r<+hv}PZFGH zs76h-p%$VXM^AsBoOTwk71GW-7EpI}$C|BLBkk*;buBP` z@upx(KwRiFYh8$?j&*Rw#@jx>irgz&DdlL*vKD;w0!m0gTK}3d#IJ0BByD{{5vqEnTi zbte|j6rmklc>@jk$tT^A&ZAR{$86J)Dm@^*HX}mpKB*;=C$La#*nyjPd37&@-psH8 zMs=V}l@d$_B%DK~7_Q*v?#P4R^?h2<#qW(w#03D+;Q#KnS@B7?pX5)6v3akhhrb}k zzJ`nk12OLSK_{X2PD@o{$m8WuGvY;TWKh^$&>b3b*RXit&XaA5wJET71wmWQ?(fTR}jCGxyC^8OH&!nYe^Qp+LKM}nwo!5apW^jFn|r{Iy!w$86MyWi-=Ke*JrgV=|Kgr&#dt_g!+Mz_4_Zln`rW}>`1 zX{yN2(5ewqG@L#EfvwnxH#WVE9hx57ds<_&`Obdi^H?2$ogXPQdOYVAKoG%}Q@k4C zJQIG@czySa&@J{lg~7MeZHSK13bhpK?h#^;6d4$$SAwlCk}kY`1rhCuwz^Rfyfhg( zsd!cadAGNOdd{TQVN)X}ZNOsX;k#A z*IiTIM+s`ppJ%+Y9Q*+&mDSyxdk%d`n>o{yTAZw*u2U_1HN3cR$wPD9`+h6=18*go z$0o0Sq=a?S(<{7IelSA*T3zH>hu}EN6D{r6%@v~0xci^>&s=sdS|%eO^JQw2ot|+& ze+srf(AjHidHqtYr0k+~!m#bJwaOQB(~z5!RqAw+n7Bc61Q@{*gw0*G_ZOIt$0zKg zoS&{8JGD$Ajn$!S8wDYm`P+oZ97C-Bq!io{Hkn@6F=6;(e_)ta;yV$6sq0yVHZ0DX zJmuk**l?sa+DX+;=le}HOKi}UrjX?MACE1YuYGr(JXfu1=X|zTW7e#1f@LU(TgxqM z{rdzeV0V$EOqVV|R;Iq&$3H>3@+zT5>CNaHk2P+u?{T(3Kbv~PhoEQbbZk~bGkliW zS@?R2e5Z@Sv~8Ww8tu87bZAD6P4Thv@1ffdUK$oiK!YAFH9jUcIc=v-<)ckZdqt~O ztE67>F7sJlHaKgsG;nTurW;rAX?ABkGtS%+S^UU&GXB$~l2^miS(rG~qT}&3&S*z0 zbIC@ocb8|5eO>Omf&_S{x`Sw>$*`rkkIUv%Oz)cQQ+?}(}r7|+` zvF*nN+`W}EH(ht`O^|Z@efJ4$mFEi`r(5w?v ztHW2eR4ItBMv~!50DEuz-<{r$n@9R%gzK8g*`Y0`Bdg;G^Rjxh+)NWx_CFFr@H8dxKPcBUcg5g~r zLNol6lpkO~;Y5QCqd(MvK)NR;=MzLq$jvhl2K_tRoMl_qihxaOf(F_#2N8QFPsy>W z1xu!D)1*^*({gHi-}~b6_@vR15>cld&)lz(nYSRkI}#-ZUCUcdAD%y#oLD3`;RGY9 ze;zaR2%((oxHZ~Yj;6@5VJc$k=wxIkFQ?>~dX-B;`z|VmY)dehc3##rUG{h{zU+3u z?!e_R*&M4miq{)pZgi}6S5l`FOk0h~5`-RGy_suxs?6)rakWFvYJSPwvwXFC693Zr zLWUAcfdF@V6pV{gU`etU@z^*Dffr>_Rf@V6gr9q+%s+Y8LuzF*qrb=hY5r3f-x8Ci zT(MX!Nl*YlmukRZXZ`ES7tJKTM!+EFi>a}LkEI+?kUF}{$*)*Toenf{6s%4+K?8I1(CuCXw~gkkk^J`O<#7ekNCKa-;aU``{}- z-XQSg2&gMUc;;Y3dV;i(2HTyc7&?@K0C}^M5kaZ2-783t-0Y{W#V17~No#r5>mW5B z%T)V&tBQDC_k{k(_jCmNe*RB42oHI@Hhdg)#}9p6OrYxne4fe3N(dxve~OI-$8!Q- zWQ${pV-bv;0AKtzKga*$peTKNoJ^qFIXi#5`qI9! z*yC=-@28*R#^W6)_q@v*(aGCIn#tQzpP9B-#0$sbHMg;mDd2e(yx!CM#J9?Jfj3;T zZ)T1n@BIeKuegi1@VYsK*hPqd_BfLEjv&*x(t@|OCTt#0d1s<4`s1%BQXP3u{#Nh< zhYU~*&2b6-i7oN2r>101Dq^M(u7<@qZCwsveg;3b8ZCJKb0O=@cypk@+~PekkRYc@ND8zaWX7t}lN||8Og>BrfiQOq9s41)r97&-zu}BDF2Jvh^Yf19XvT zJYea`XbGZ-H$=COW)iRBinsYt&2mrW;erIzjW1Xb+TQSeoXKJuIVT|5{WI-r`|+Di zNAIs010)Xgl3&xu%$yx)Hp(W_ziebiIN53PCcMBcJXMyuom%8fp7@rx;rEbmI=Ik! zhVRjz7OcL~EEg85IkQ;CE2ZjHd1dPDN3Oj_j#~$)p+4fI1M%8LaV2;J;XqmHl_O&mL)yW%dId}nynaiz`b^x$&+g$|&Yb}+G3NJoC zo|t9~&8w1fU%gRKHBhO@!r**Bx4lA#ef3mIMS6|Jr@zwsH~uT{MIOFBxZ#{gHR=YMt-kT;7hkfmq%7vroiBH`qjX7@&I^(p|kA z06yn6!_Y=HF6hR)f(-CMcoAEOv9Fh{bm;5nSGCgQ+oIn5_)d~qRsBkVGV-u{^|fCr zU>^wo&bE=^sxp@4|U=^Vv&f@n;8fy3Y z4Is^NSs(Bg>Z)XWf8a=VK3av>Loo)1cpI&?#eWNPss)-N$(5?& zfkK>Qc%h^frBc6(grEk4fsVExOMxF7GUn`1woPjgC$!$M0NmM16`j3Q5}Fag#hvOK2k zG7t-S%_W=Fx%gO`#=HKHErD#Q&V%eAp~GYEz7L(n1&W(-I{%%4YQ_Rk?%!04Zr1U; zB!?A6o7GZS4(H%l3FznN$*uQvG}?)z4m~aVzA2#gj6i`^0G8Vf%6OfZ-Q>*0oW~wz zp0|Bx>pQK=n;IdV|4X*PLSx$DMU`ujmO}LB4e}*cYK$&OXTW8`5Z*j#xWfgt0XI%^ z2}^BrK=&qX0v45kuOh0YYG(M-twYsYH`P$8Z-;zxKILNLn)YyvSds|l9PohU$c;?# z-9Xuj$%2m(cT+_#9$x+B6f0R0um?D6)Pke%q5RZ3biBX7IWBTg0@KcCw17ZOpPAlu zY*-^i49XqFoT#c;&7D7GY?;=t0JLfI6zma?>Mds{K)Ydi`f0EVxn@2>z5WxJ1FA=|PH5 z(DKf7R-LuMPH%GlUd7m#e~69*FY{y>4gssRm&#t6nP5&7`AEcvoj$KxuK(^l8rZB` zoKWI@q}3jC9m!6K6tRq#XOFz)KOOH<;!+m1e0hvqzP8D>$m%g>-fOxb0F6uHA~K5S zmi~jBAe}fOnIP@C&6GRR;nQo+prNwJCe_v&=iGOE2+fF_E( zAIiDv{1{4PcTM8pBlNrg<;;%_qYygafof^cjd-7CAQ-V>{4b7U@VC_IMh-tqYoZM% zNDZ@ZO{>#!qsk)i@``};|Jz4&dcL%TE%S{~Q0%pE_M2_rg|G{bS0UHTmlId0x)
    o`rC3S?L_IxHQw|3{JLBB2ML4?)+;AaQdv-Yr|Z+ zBtmM~9py;x-5pUJuJ-HpZH9r6rL62W<;1vgfmUW@+|zz$rZqZ|Q$x?CFvWWbNzylC zp56W7Px%+VzyA9v8Ec%wZRsE3g9Ux>mJE~AIW0z1?J)KtBhkP_Q2aaI4*B_{%M0i8 zr-o>aLmiwba{|Czb-JE0Pz3(U8uQa70ice~F-Q(cFuiaBjuy%*SiQ#>@ssvSyI{TM~{N^YQ2x7;4%bR24H!ufZUK*Sh{J0Ul_+Xe#(UowP+!OM=lp3)lp$k9qgv37=8%0;}87-K0HB&d7W zhdb7c7{pY~JDw?4`+l5iJUDpSw{Y8Txzf>-EzsqiAGec;b}bNSd_7vq=w-|iiI*fz z?G{D3n&srG3LfWro7m?kF(mNkC@!2yj-t4DXY%G%iDU2ZqJd zQgtg=IoB7s9h2&IIC!?Xxn|?-vtpAfbWI03>B zu_TqfvKoc>IKvmZvJX7#tpeWgX6lCV|0|Y<-p=xmC3%wMb0<1>>gd(f@y603oR328 z*Qc1&=Pw=lrsnO|SJ;~iy%s*bW$HIKP2(UZ#QalvHiKGH z=(drk+Y6YpRc<+;X`IoZ_dd`iJy5zy_*JTuBBA`k@V7LcWYR{tiT%!Qg*U(62+2X- zCm!M5KcAVIj863j`4vO}JU_8k@_@jwL)WH#ESy`_AJ3>n#h^6IlnXTdmqrK->E+dwt?I}_X_I7!8=5b5}%^eKaJ-4o?T=b9r9k(IrkQX-K`q{icISdmA7rX|B zNN}n#<=oJH-l+K9u^@ei>7&S6aPPaTLWKQ$0htgFpFZB!k*W6|%Y>? zbpG&m0FUui_UFjuAh2H)3cD*dG;Y;!2~0b2!wTN7yVFqR<1Ym%N>!oQ^LoJB_Z=IP z%;SSt&c;mMR|M|ut8!;iN*L)K{TGgJpED2it^w6+55}{HqaKST8$&&Rk2;0!La*ZAzdR)q6r`$o-A+~zZ6}6d>IjvA@2LoW^Q*sy8&U^nNccm65d4{ zMdAGDr(9|5>dhBcdB>5lj$~BusS;_bA%}A3-#R-SV+8m>d7IEVCx$CGex*)tc_W^b zb*zt?DmO%V{WnZoKPM+Z-BZE)%G{vL5KHUVh9Gi7EK+S8NluV-#(Kg5zFV=rEvXs^ zID#sit~ZOMj8-@{^DR+ZJ0M~$V7s6^Ox+$e=4jA3?{1xO(+0=4#N@u?_Bp3kankBM z-eMI)zZk81YlVr^%c?(+QM%X>G{I(n=kyD33#Yd-%c=*{OI##ww5<2Jic2Q_d>@qM zbhwTMwreedZ%4&{svXV#FUQ0<;5ZUlY#Mj#VE_E9h`NU3vVAcjVX10OJ;{da1nS*Tc z9SaM@4-B&J-NB_1bAUe&oy_YWTIIAil6Wwor&?F#gZDoJHsrSf)oDN|HrV2!)=Qu+ zTSOCj5p1KTjmwdWS03e;F_1i|V6E#&qr13E|K|2%C~OqmR&D&66a+sxb3eQE0AA%xC*=WulXX9MW|%wL;hM+`*iMEMe5 z!8=Gd#W45dC^88w{0*W@*tdV{lP0xlflfbClxrTI3E!WTi=R|QwgjAbh=r#Zpn)nF z4Nkv|W}JmsG0oYw9O+z-bdkU%+nNz7_p1?*ND`d2WkY{ywaD=ATLyn~tm5wa5S!@@9Nq}E-*9&-e_!z;SL z6Af$SWect^RwsW6$<6s3{lEamOv5Z=*JK7-!MUiq&P zY@@L?JvCa+GWmpRAxm&r6P_{v^ANa6QiJG|s3iA&TrJI{L|wrPhlV%wlOzUF7{fRl zX$!w!lAju&#X|E>JWm*F$(oa{1q`;qzv8u@Z7R!8K22|OMtdvaC`^-4U)~f9JnO~{ zy$~U>DaSP41ts+ET{BhZ23zjbc5QpEz1DRwk?BJ~zw5QZUZ;g4IGjCkv-z2~y6nI7 z90)PRA09i9R$D}wg@=V9QA9FP;&>@gQ@q-~U~atc-r>Po+>MSoop z$HM#@g~j@*=Fny0!ghm+dMB`003|>tr1ZiyD5N zOjVHrsrCKxia3t@F5b}aF6p*ssn%g&rdhu4soK}Qe+_pg+g*1Rt{l0ql1}`*!cI6h zFD|>)@8oS+e~tLLinL-2&GQMKGo4A~h z)lpcjOh-$FBktqj8lBIAHr%%g!L|?SPV!E^9_y(}?4|(Inj=$N@aJW(%3#?CO2?`T?t(55g3j+?V;xwNNtC*(f5*YzutV4Scl>v2R%uNf3vfwFaLH3CW{n=Zq!l$yMn*xm3meDcaA{~e zUPqRba_3I6LeJ8F)gl*juOETu;>9pP!*X@tH$SX~KJra~T<;{5%6JDE2fpeT zILrL|FmUhSk#kY66_jc+MhNbcRx@ec5)hHIH3LOjtO@}Sy(8TIrP_d!qy8V>JC{e7}`WL?!t8h!~H5eb(?( zb*P*kTG#JQJ3aZPv7xE5@TO@kON3bELDklQsvJxmD!Q>`N_WVLK`sYFq2xtQg}F&z z19LD;QgzN*(sBz@y7+3nvG5;}&!O9lO))Sinhl=uJNZzP!Za_g2-w_d<}`HCG0QxD zCoZXui!(q=h|>KlI(h2)`{l>ld=gyuk#a-!8k06-@Vfp03eg4$Bs6`Gwlxa$l8uL0 zi6%7t!RkjCbk2D;C$aV)WNJYJ8^7mB$kjzvsmV~QJ@G&_<~1BjIxtU2tauaIsz9LD z4YC1mMGhQtru9*>br->;Nni68p%uL0KnEJl9q%*>b|f{4)#;pyNT zEppuNYq%9qq4r$3I`!j$S~d{hd@6gnaYHhZ)W)7V^1+8!1~8*<51p9&i^0w&unn1g2ruzvwA+?W@KjLt?|tcbhD%g+=^?`U#%wNyj3m5>6!hce^u_b;_mh~R#WIp%N+KhybdD_tFEMtG8CnDUq0|LkX+k)v|% z`xe<5f29HSajeIU-&1^$>td8^V}G6&1H9lC2xgx$q?55(L%&);_)))N+>KaO`OO?H zBt#fV6f|wKqA`Xwm_X{g)qXn-{X74|m@Y^`l?t4iz`VabJe`^wCu^10gMJKv9TPK_ zz)}r3c@BDeWT<`>EON0)H&SpUQ^g~ICaxr|N}Ze+qcbwXEi>Jse@y6ZsM$I?osWDx ztr+3a_zw^*u%jCb+)-vMK`2oLP5ItBTlcp`A($cZghKx5<{XC`%b`YSYNZoK%w`5_ z{a|BQnS*ZcQBrwIx>=zjFSJ|D{AmBaY!!YF=;_$v6bf=48lZ)} zaz!srNAtg0pdI&0qo_2MAK`MOt?3m%<~1c?n4E7^BH~2VMXy(V333f@*{Xd>8vP{S z=V0Q6Uf@&&T})M(!#74cLs&Fsa$xy%vLj$I*d6+`*0aCrR{AzUXtTX-wg!x!9Ca}8 zY*ct2)%QNEl9vWdc=E)R*z0K%)yB%`=u33PJ+jLAj+@Hn3Mx2q7}ZTE;Db=@$9ISo z{H^b^rI2xH!r+%J)*pZsDNYyYpxzA`SVF6x&~ zbwEJUkr3&SK>^`_g3>T_NP~1Y3?khvT|;*Yf|R6m3^4-;64EntjDVoN^St-d{dVuC zGc&)l_KLmN+H0NtUuPCYa59{DOz7^97TW1heLTy^_8&84OqbhNT??m4qWe=tn%+WN zEHkl@VaGO@gS0a24EF%{P)pLk!1Un@$cQg?*SX2?2-XNax9sw?hYU$)`J})s$IKPl zG6LSLW!Kv|sjU1c?L=yuhU-vr(OO#nb>l1!{b%E$dwX516#djsNA0914OdB&d9+v#%SxQ;i>kKwAyaxoPFzXL zJ0q;5eEpSR`*a%=xly8BCHd}~*K@!1Kx;KSppD!vNTlnhqeraRixPMh{be|8YApb3 zcg6&>^f*&T&XikZpO7C4iIfympX%*nftE1AB#(qk0&r0<=X^4*4u^$dpKq ziE2lEf)sPo&L+6l%E|JH{--{r;b+1S3HtR(#VN^(#MCz(a^_GdP8Qa`c7`VCJYKgRwbYJDRA(rsuX z9w~=mlf|Vz!b8jUCCIahlTEtKwxMD>-8&_;*9L8heul@b7hWBcj2u`TAWt|F3YcmD zJ=B~Z?Qlp|FguGDsH%drD(cELCJaXOClDEMZ^1678BYNmkMH8p;n17 zCSjj%c28w+fGb>5qQu0?;K(waMT!G@-6?{7t{(c{^NR2>7JKvK*MGpOHT@)Nj-8j9 zM&P=pdpMG-8%)TemZQ%BPTXkMgZvfOB|X~Sc2cJU%6Bp3Q}^!=1(!LJl@%2!Wq?@i zl~O;C&bpwhm7FVDs%gEUH?T!f`<&yoUad{6tkzNVz*grC=tv6j-!in>jXIA!#e9Y)1_uq>+=&D(LAR z7+tvW0tc6r#h@v0sS<`Ql35EHV8=#W6?O6o;}1-qY2v^#VMx!@WEfc}@_Y%W9Z}UT z0S+1W|9x?lYF9WrA4h89x>k0$O6uUw3Hg*g);~55T5zDdRlnz9$B2z#$zzfwPXRxx zQWPY!ad^?+ZgclTPh~mfS>C?sZQ$p#rMHI9N9S4%0~CR8xxJ_$X-N;UpkV=!5qHL= zP3T$$)n!}R73bC0GKN>!145maZd6IPmX27T+(?u{~Vk&!UQi5WCOSUZJekE{rW1S*2Z; z%q5RTGbT85am%m$!im^yJ5mdAxMP1*?lI#mnsmoW-6lLb*;x71{-il=--0qC zGvk!ggj)ZHJuBu<#E)G*sA24joqIbf#(5VroXkieE19siCn#%%fiu);DR{#e`+0~v zNxN#lZH{1B|azz0CEWYtb zH_1WJ(XS{Gqx2xwn(SPhH0segzC0 zOdEP6IVV~`6eOJDYV${_a5?0MH#*O;I$7|e6ko{ZaYN5}yR~6b1LEJ-dt?6~pKuc6 zTRmEqgRgj2O--~LMeJlp5fa)^wST#HiO%)88?D>o$Y| z-+T&7A$8>#J0<3!-r>r#ee9+1HI(_VmHR8rhY6!oIHOP~&9{AEAHtM-F1D<>m}x@kidWtMQdBm7 z(zh2asSn-?+~Zc~AIJITDk_XZljo6Zh>G3rW53I!v~~S+BQ6rzaYgJ%SuvQ8CGTLgKs5cZAI>Spn zrFUq_DA}>uAelugk1f==&p0%#laJCCTzYU$<(P=@Y8Jw>npBaxBJ~Xu^;xMMZ`meA zad8(xSWoXN<`+t!i9PR~za`D>qu)XBjC(9W;U9vv?*^q}vV$^yb4HKaed&+Ln;>F7 zFZ3LS^d&_KFVM8+g5J|QF!8ch*W``<_Bkitxp7Ob>mjCLk?hz}T=LPXR`^x#7Fp|~ z18Rs~jxU709rHkQUS68uEwf{(2z5X<9>S~+3&VAtyGIBjRQc!0u$x3baI~L7X~640 ze^M<}A;+@pY(4^btbTZjH~VNbf? zyUKw2AHi|!<|)8iN-Zh7v6e!wx_Uv*Fp&|j=FX^UzhG4q!&Wfm7vZ(Ju>hzoGe+W% zhU#H^D7HcF({;V-tAMr}|Mk9uld348uqw+WDhEZLmk*suKzjI%9T8Dd+}QPJ9a;#c zgLeomD6C2byN;OENb(sbc{SQ@NaUo#Nc2FqJ5;wjlY889RLHy^L1up{^N`ZH+Uz4nkL2{%Y9 zF3B2&8UmfRgGEz7+oYPyEY!PB7x0w=NK4@;-fz0sf)F%`*L(Vj&rkr({nGM}0Q14q z)j-@F_aaehD*iYKICB<{slAc$upgN=&@C>1z%C0!=}!w(K+d6|2$?%mK`eIcs#^wC z?opiz1Lg2Tthy#hTFn$29w&lHd1%!UH{T2!BQ|&^ePN$spmh$5$FJID{g4_L$z$}n z(tg&jnMY8;N4eV1CXnS`(@N&{w|s}3U8oW+)DY7bng#MSONnfr>r`{?(pLTb*0OH^ zWMVx()yx|>eYnub<8%tA^Oj=wzZHq*0WY|0q~WrVPQp~i$Px6n?!6Kh*xUpI;}65A zkAjlmcgs>o;?#!ZTTa*m%gYCp>ic(M*84&#E6m$REx6u(a+;VBXSQ6ZfxlTc^^Rzt z_cl~tAxAvM8NXyTu86<1_jO`**_s>lv|52gtYC5{F~S!q5vAThFY|(?^kGSR-)wT# zaFd0aZkvYaC!zy;jJcw<2*jcX4=>&fxyWyPXcIo~^JmPN`)Dvcur-&Qju3 z*jh*4FU@>EVg%oeAK5Ddi-gSYuC!4vGPZGB9@mA60mF6hAdIoerzLQ^xd)&EcuQ3)s*^t3Al!polu%B%GjQ! z*#1jlDOeVP6^Xfr$&QmN^=`5CQ80eBV*3(9eoY|0O4iy$x~HZOEK;2^lv)`k;*yV# zj^Y3#y?qf(xNlQ}m&C-jY8OMNx|=sOqIL63EdO>r1v~8^uajXn`RAFFCI}LnY_J`_ zed%nlqXk4*Dq2bD4Ul&z18B~8(%CtU*%=+G{7uVEJ%KHMvSBB2MUNqUnwb9Y7{hPz zK4BfI66a(uIT3yyq{k548J~;x-CU8>y*y&kWGzs2V@#E=e#UuCln^e;fnV(w>bAZ< z;6QnX*4uZN?H*^ZAAW}v!!N`A?#Xx)6sUd5>=c~RnVG$-59Ibk2lRhB)V4PrbK;S5 zX#pwKb}@v#O+#t0&j~|P+qy>ed6jrCe;76qnPTn6@ib`bG)v@i{FTdKI-kgfU-+5b zsUXoczQmQ;QQoRz`fX6a;-so#zbQ{I!X7aj$XibX6q({b@p$IY+Y-~9l%9DK?|AfL zTFBZ<7qN56ap_c{U!mh(}vLgYzYT+_hakW&ogl8xY zTDqTS?vAy_W{Sa>O1M;c#70|k=Leq%6}n>7$2#E0+>==K1?&8~#sG|Cym|ebz$YS` z^fXxG`hyv|uKgw!n`PaIdR%_-+I3ya>kB~y=2h4a7w|XHk{}FlMllbVg*TPU;f%?cADU649auIK9(R81$KMEBnc6^XV(> z?Wk?*Nmij@&fjOY$fcqg~@88C*GAa~= z>_MT%kup$sM+=}kvaxrn(e!0*IPPOQui-K~ORI-*j~6xjZQhGvz=70L8sOqn;=soT zvLW1w>4IJMHZ$c&Pb{?ynHzD$yK^+PylVH(k3Z=?uMm&%3^LV#Yo^y&N`2@|@+(B* z%|IGu%%>}LJ?r3KqWWrVt9Dx^ncGO^z=>|5ITc7Wd=$_zS_2%bf(mN6<=TbBzy3b6 zmjf!w_cuy{?9WGV&D)kieI%gv`>eKX$xMWcV3 z>K782UVff>ubLIA`~@?bq57(w;`FXnZp$pT3(nb?8H?u=TjS13`w`BVo*W&OXJTc!zWJ3xKMs|;l|HF18bw?J3_@@|b= zP1kkgL&VEu1t+_$VnSVJqF=Z^{-!(_y|-85|I$CAJ&ox-sf=>GKK#((>iAZ=U_o?> zM3(OH;A!rM8={VX-3e~_1ri*F<7wXY6oEf4o?Cs**!Ej;tH)IZ@?pH(#qzEgat#YYO3#^+Q^Rn}ttV&-r6udzG5j(cbKPjHStS;m}5ZK9P?}aH$DoSUrdTy42Enh3j zZ?;kd#KVzT7ytB=K2hJhGp*{~f4zbc z7t%;v_1tnCx;pN00T_Q%O!=N5`LA6G_}2rw@B(zgd8S^>1^pM^;)(RA3xyRh_6R&u zV+*RZStmtYVMISkf~R^>2p)(lyUP69>8M^pK`1`u-_dyh{wQkL|AdE#dbW~HQBICR zjY;<7PT4cf8;1(WTdo&V;+wjT4;A*~H}@*VO!r8C9e6Gd2=a$G%=PbFCp<7P*i-xG z`Rl+)&G6jtm(f}Fi5(k8I_93?f{xEv^O{G^VK0ORNoIikeq!Xhj6c|(brm!>(Pe=A zBEkG14SZHUh;MFX;8PFE*7m7Sdf*J7m5WsC!?)9dneHU_&!`~YC_bA_1K;nz*VPF5 z6!If*FW@h?PmVJNqDaxk8p{f~PWwoNU$1iw3F>>V%qKy~WI)rNQ-UhtVY* zIbgPS7Z+_9*;&6k#7$tw7ZO&X@HH@X_8b;o$|YDvSS`>w+r{-rbhU2!#?93lDsmeO zB>sjImbO0P0Uo&mbOQdl zvtd)LfUxopL!30!-k%O92X9)PWxkz_NT!%#&2lBOz+H#o%1M5V!LG4Fd%qr(4ZlHC zD?ccUGMDUn9=*G;wM}ts{kxR(SPo_>bE^~Y^5+`m@sg8SV)=%j+vE1v(0{5 zG=R~IrJF`m7RR2A{Ca=#vkKilbA;+2j$1Iw?^o%ug4GX(x@f>kn-xJ(nzGEsrTGyx z7@xuBS+kASqDzsA(%mNOKwqUFh8Og*d| zeOLedd3b4REZ$Qh)h0F~3hB80!OZ$b2v~lxA9tM7=|?FwC%Tx;JaaM47EyXr39l)Z z&aUSvj8{b@Jzu(j-|j$@$TTZzQj`(z$Fj`>iCnK4)y2ZucOwhHw~8&M4P$T4td{wa z+~rZ~rL6dv4{x);DU1sn-d!?NHyhM*bDYU=+vfc41RaFdQbx}=nHe!K3A5!&!G|2{`bo?1$b9t@0Vjeas&tkQk){vVd>=|#o+ z_*D}9u3HCr0FwNtH^XCjUZM9?iBQ7`K_+L;cV8kk3VX z)wP1__I0dnb3p*QZ<6o&VcbGVHn@EM099%$@2phxRoCu8w#ZL$?GC#s7S(aKPzy~M zH!$f>j~z6*Zh6H zayPUSpi@M+|0?AJ3T$L(BeaHPd^_aPjI6+KlG=UMst~L3?S1oYZXgYQUc6wO#63Rw z5{7JMhDzf)H!N?@QMK=BgA(;%ZPa3u4w_#@ynOXj?GrsCTiq2>6UR?mF5~G{Aui!% z!+(Ydj#J@YRo=eQdG^9X%#9zd6vMRAaVt_n=p776qW|xr5-@fMdC&6cu3)z$iQumA z5K-6p(}gismO%;aXQLHwX&|=`%tice$rhG{U(A&}5@*$+s3=!3i@N(T$eomzWG>f1 z=Iv4lxiYA1w~GqxZ&7eNeDR~##PrO=q9h$G{S&X0zvfP3s%u%r2R!R>WrDOxA6Og7 zR8wA22+vWsPj8iKIUaAjIn2Q*S;uTq5 z#%C4t9^IaA>`6Z%-d3s)yYPO`Tp)4thI{B^zDpqi{8O3r&vM;o~T0j1y)hM4+sM34A2Aii*pE}7^>zXD0qVDD#sf63ul z)z~)omsEyC?IDe@jj+yQ2=>qib|uheve-$c&7yxXmg1A=#R}|qe0f8B1I!IDZ`g7J zfu;ECmhrERhDDoCa3InT@f;NajOp;f?}aLIS@1x`gc^qECUXL&Jhnenyf_!`UuBqh zTxdB$r|)rSb2V2&(PV4U-ai{=SOZapKDvu%kdR_Wsf4iM1L#A!wAP!=VTmhu>j!VL z3b?pRn)?Rz`$P=lA{n*FHI6M|$tDEc`bMtTL~~XmO0FBlCl^SIifKNeolY6_RuKQ? z3;ANTvfo6=-zL(bk#%k$>s1O!WQspQG!Gq+*@&oWSpQtbqFOi;F!Y zyt{(>2l?@u&UuB$Ze;^ipRQ;AT(>k+f z+CdBWs=JJ5_qg;*K+g71&7yKI=@JW*mN$B05TspNE?)wW_?CCS9YAs#xaR-d;G4vF@A zngwqfHNJkx0D+a-N|Te9yX9|63*C}jOM1=3BaJ=FA8>pVl0{qCFls%UGC0j3tT8UH z(3$rd8qUQdUsZ>?5JQ{BCSMKqYV|Ej(L;T5-wFeqm$-u^0)9n1ScbcNr!`bv;+*JZ z$%r3J;r3oxRI*@-G6+7f%~FO3B2GgqImK`);TfQ^m6N*htE6Zg5~Vzg@$r-#2l4k5 zlUfO;lo@+R&rH$Tn%V${Mes*ZWY7M+3T`0WD@F&#P~iH4l|=yUfN1^nQ!r=VYhE1@ z9%jYLA1>t?$V2Qf*bA;Vpvhbir&LQ?@}8Hr-lsA{Yg^;F>D|SJ?oy-AvmAT=o?k`? zNl~;lHi?&Y^QJ#0=>7NTf;R%X*2?LWgb;AHSUY8u?6Lvc2k&G;4+fr z<~D918tV<*qlRj50-dv-wwuKlfyY>;T7R6b=y24z7&6s3a&H4mab+*nhzK*nDX7Y2 zRRQ-{aWC&beB6-7AJDHNp}tZ+$-{h^w-;nc0HR3Tq?IxO((2=2iLYwHm9(^5Z#zl2 zC<&BawoKtnpgyDulsP{lutDCB`^xOIoN1DbEO*|D4;W=!4D-pU?jtr@+@EeF7C9c^$Jze{qYze{F*tsItmhe*4k9}zXuz4E zS2qdCF{{fN*RO<*EwsFQ`*qj4N-q`}7JKUIy+OuXP4#RbW_;--Nq=m1*MfCQ@5`6!ZQ1{lxC0!F;2M-GYPTr=avlpM@rZ%Ha|w zK(j;CcrDo2^4?cb`Vx4*2;P>>j-G8irYL<&pkcFL$dXMDanJTN+&Vu&(%-JYd)+)K zrt-Eh(Bdxy>y&K+Y~A@2ssesyKi^FJ{TlabUCbwD4VA^v*({WHUzg^`fS3*@ElG(mQkZxpSu?K z$WNAw`l#mnaua)vZ4}P;LGs1mGqp)-#SV%ZzcSj@=mQgC@dt+Qd3Hh>)dny5#;7JO zuaKGLaEQf<0k#&emaKokvaFoHq2p)6i3-H$#eaf-^M%-k4l+t=hGCnupr{@Vs^a&P zAof!D*^3FMjcYxn?CNd5S(d6H<4Q1prx+)t$@n`flpLk1V)eEwv3)uI{FtAo*MJT7 zz{>#G>>DZg8EQj=mqGaxVmv3k6&js;zZC2W(wUQON*+`ZbVZR?w!7R7MwZw}PD`P0 z=60-YP_kZCT=-4JZxspt$&;9uvl;-B5A_g!!W&!*h#S^X_2r8#m<09Jh|E`|&Xue1 zYkTNnV~vR*!aany^-<{}Mbq|d`9r}Mr7N|2HGK!bGbd3V13+%m^moe7#)rzsV&8u2 zAlR+ZS|6yjX&C&F-?vR~WEqw!S})$w3`Zm7oYL}Md^J;$uqxT3b)F#>GV~RUq9gCz zOXDuD86L60*rFRX^$u(rHe zEqVvVe_}_GzM|yHql38~Plk2iCYL~bsVA?I_R@VLn1%eV`*|w`x0t2?Ma00LHfs}d zs9963cIkcP66-{LM82>$cZSc+?o!!1n#IN{J?QJSeXN2#rNr` z?`*BMtl=7TETE#BF7oY{wGnTza$sZam&W7Tb{r#vJ&AS%G6Nouf_%WFJbvvuee5== z?%$7BV~abQ&T02=1Gw6e;44wiHh*>|DYv-t$0n$-XLjHI`8Y?z+*r;nb^T8(P*Svh z_{(CKgL%I?c)j#<`Aue)XH!4HhSY%N`cigS>5+1VN-=&m<&^xey&j?$Q)EBlewZWw z&_stVr#-JfG-bW)2-7F(7aWCze}Wj?6QJ4&cy0JJw5&2v&dlqA;_<8B8%R9`5j{j( z)h@_B`0<>*)GTLQo>VI~2xP2YNI_%!4mCWm{3o7Rbv8YwCt$Z3VA#KZwZSgM)>>YwhS35mVy-ZR#YTQzSLaWRVJIys3>X0i* z1Ci{wBvr*Ydo5sk5MumVd2>2u`N6+e(qzlT~6)(1}o;)dj@o+~hP^OkR}K*q(+_-q`u7 z@>w5HQtR)fwF}%)+xrs}SWWsQ1M|DK2~@MYRvk4YlORv=s|=j5iYjp(xB8}majhbi z!9`oR;MI6cDOD}}-y49c=n-~Z9cgr_CjBQFMH7LVmG{Ou3&G&>Tssf?5WQ*$It_fA$-)1&PX24^aX4u4kx|*?f3z*s*y#cgkvKOlU zZJj|ML~jU{-bq^uSEIY*#6CJ%DlcK^V&&U-N+TqTkTibn-Pu1rF`xlj8KZevB+AVr zk9qY}LqJ*m*>8OiW5U~MmFyeK)#eKRoO`%QSX&s#-S29ze=3Tdeu>gk+GC2goHsn& zk&{9q!_bXGcsSxc%+p_kSs5RbAE-H_N1vzw62Ymp;O??U$^mUqg6}B1v2(k(_A)H6k~BeH4ULI8jJxMeMrk4KX~g^egRV=1N&v$M1yb`vzwJe7A`n{rkhcUW`?CnRx zZ9bviLa>}!y!}8opg_*J-2YBT{Yfr2mY?wIjb0M|hxcg=a9DEGO)b@nfHaqu_P-I& zL*HQw@=zJsL4nveW|%%c!9ltKk>)C#<2~AQ%!_qafj&2sGm)u_lHQRzWl8(~?G4u1f#1h1%qHEk>i3BOy< zJ);dGprN+v8wvAcbv-B~3wt$r$Dq%v$2S_(QBxUy(e*2+`b;JjPF)&>D6cC7fOs>Fp8?YRV z?Dx#l9d)ShwVihzCe9ExlnRbkf>NMEvMx%K|DQg_%HsO|*a0bld|&bZy8OUTY@^&VI?&I@{q+!@(i`>H^|I!n{{x?KbPfOj diff --git a/figures/wave1.png b/figures/wave1.png index a5f21b43f31b3ecadfe5d08e58027ee693f563c9..f37d45fc864a4286c675b0829bda0ef127dbb876 100644 GIT binary patch literal 15430 zcmZv@1zc3Yw*b8C(z$fQN=Pjw3eq9nBI43ZBaH&most3)B8{ZL(jwhRBPc1|-3|LK z`u^{G?|t9=?r-kgIX!dc&Y5#}BQ(_&2ykg|0RRAjlA^2@0DuVt0MOoJgHS!M{2G4& z0KgMXRc$#W5;;FVKQ=ZtJu`iLeB9XBNJL1erl#ia@6X1@wzajDmzO6hDk>{0YiDO? zVqy{=9{%COhuhoR($Z2CD+UGzQc}|T`ufbw%*)G5Zf>bk$b zkBfs-Sy@Rduxw*OJ<>j57o%a#%&(6+5LPC(p%S$A(^5^E(XqCLY{3a5) zvAKb~K0UcZX}8(k-A#>;Nn)Az#gaHRHAU;WmX)O?HZJki^^KRO=i$X&QE{=GELVSj z|J~mB+%>Ycww9R2&B@7W>fi>6Jnq`L__BJykA{DDv5%ZfyE@#^a!cpNc-V;IeKOBU zO(=+*+DT;O%M;?ba;5=N4i5xyeT@)BSLazT3T8pa#m0^VW%UI4#0;*HouRG z#3p3eJifV`sWvb)c=A|4gB)xaP=#EtT;97Npntk?ahKUS7uPUlo8CQ%@EhK~L2maR ztQN*b#SGpeIi;QYBV>Lp9(b1yQ>drRe29t0he5N(-Jr zB2&7zkjR}HacDmEG2@A)LZZB3322u#n6s&~^ zvupdXB>XD;EwOk^!4&MZrIVS9Elnr5fK*- zo|(m3m?i^qW=6!ab|%hpCQd{o4s{2_)#f5=;|u`T`v8D2fs(AWw)^x>`h@KZ6RK|G zudF@9PhRUO*hLk!ImPQNg)_%=%8p{wNINfw6t1S(%asDcSr7SdZ^M^_fzVy~?s&jgQ{>qZ^PE zqCi+^=wKK25sn{{Cf?}SdBoug-dJF%Rw;jz0RPx5aT%LKCoi915~@fpH+c@iPz)gU zU7b|=bialyL)VWpY_KAWHP$Oc|8m$EkGO^ej-=5|RtyOo2Jv5z#DlWduJlthEBH$> zNsA85dM}U6ebne@SHE2A8|5W@0mR2c2meJi=F@>5jeAqSNHR!GIOlRSL(xk`W%=D* zFvyZ=lGXm@fQ3&xBL*cC@vsrRSL0w!9Hse{$zjSXjh9c{{LvNTu5o;cA_wRpXvyg9 zVRBZFKEfU+h5zmMyk0<`Eh#>`E{mph|Uy z87x*2&{lrqvVnL6`|7B%GNF<5#z8JkyR=LbzM+i!00~(*tWPG?j4~@1tUWA82wSBI zel6G;t?NyM%P{^IL6!yV-gDep6iw!0zNFPYk2)ABC`+si8yt_yDUxN8 z0#cK>@E%~sPb~D$pphIX#tB04-l@IbU+!k4bu<#Lv=y<46)PAk+NZeYmwjr#+OfRJbYM=d!nChQ)tt7BBTIle?2i+z^Z&u zLd}`;>~oAI0|kxi zw$5$|qY}9aA$KWHkd4Ll6r2LQ3DaP;=J+t0DVD~(cO zOQQY&pak#9`%-3myB7@qg3LJDlCgg0L=LqO^ycV=NKRqh19E#0!I7*i_h^ z%>P7Qbj{J1C=#PPQ)SL6najd-Mo2B;MCc|mAQ1V=qxm+4gWIH6-l!`T)RNXXRt zLLD6)9f_OaH~OlF1579VPoxq0df4WNu($uZ*2h$(P=zlJGQ2ADuZ4QE0sseoXVIuQ z#vcxm&bYzA1foh{wnNCO?LCeZ909&B)ttR=4YBVFCdpr_|6M7-xxY+nULtLk3N(Lm zsCq~@5;?rwsRP@BuK8Q=K__qU!t{JFpZ9Ux9t3EqZ<5(yup-gJY*1)-Iu#*oWG~5b z9wQi!`}w4J>zoSJd?ZP`;ruXsiXe+Zfl>Gmq9oUh5`vmcnspc4w;~}Nyy53noC z0Fn11KHpJK&0f}i(P>C^oy9jl7ulR5< zuAL?N7rCG`@IiV=oD83xnMv8$7OpgLWSk758bPXE9hvn7EzP!5nX9d@T?S`8bF5qS zAvI;L`yQ*PfaKi7*R@b5A<>5U)w5lR{}_zAi-F{f*{@_qDc?6Mx@u={;K><>(~8FP zR5ugO#?mHlSCx}b5DPtQN-}&}#NV>q4aVyPR?yin$yQ9*snbqdE%N9@wC2zIZ?-EFx{2RXY?U}dHOQS|xCrxJ?c7_fD&}DdUCSuUw1L|Hs zZhun4?cktegAX`h3@@D6emS-}UgVFqASexfBu53W0Rk!js4I@=(DVWSVAJCl4v8ET zu_|Ofx_%%spVWwpFqr0voG*9ohHcTIJO{H0bLy*Duu$zCW;kuyJ@jDG`^c8s*(@Bx z)OiJ7?I#}u`#ed2{`-+q1-{X#u3k!r9gtV>tPQWeiJ;=bmv34!R~o9mg9)@v&4FRY zdYpq)@LoXkVyRWqpUNnc`CJ;sn75eNgpd+b;=OO~Ew^|tXH3cHbeExe2Vn%uh=)*&TQqJOXZRkGBpqaz{6UJTIrKwi~ZE`pVmq&v0Wi(jF)+N1u9j z89j2e<$^Lf?7Wj5^Br>SBIQRGGBHJbpo}%b6g*is-yXHhSQKKF%y10GtyDwnD1X()(09l^UwEVp{r#2) zz|tDNT0$YO3XROIhBb4ul0U?#ij0b@MN@xKV&_0H6Wnpq>c^(E2x* z;R?!S12M7=XP7c914Jud&npTU7p*7#f+|n<;S!OA8o{0j6^ko4C5NqD!0e;u+sgfh zfL1-r`bSMlG4GZi^f^=-EK)%f2sS-D zyI&P5W=xL?3N+k)s8HKIgKAGa@~J4C(T&m^&}m|zjC5A7G=0EI!6xDy2$nOROJbgK zOi{LA%8TlR+63nC7Tczpj!q1a8#}1Vy~(;UG3{Dw{%D(yQL*trsqlx}HU3+)m-*=a z{heJvf5`#IxRp#?j56^YM#{6IW8W+E3Ww~DefrKW62|zAP}5g2#IuY5IxJH(-yB2d zh7R&~<$Qxa>EN`k=**L4%KhbM5DLJO6co@o$yjG}mTJP6aqHM}T02a^_w0_eqJp^B zd+x&)@R!F21;)r^dWy41sh=s~-(Vv>GOpYSJ7!ABs!a?y?|@{FNPtCrya|ubmK9&C z${IiwLvGFYW1i212l4@8Ykn3xIhhz>L#1)0Ns>9nQvFBxwP)7Hn`E@8f_kSdO{~r? zuL%!weMCja#2%Oaev?qw9!d?xR2hurZ^@;GvKl=D)z~4T+$0zrnz>fLWf$LMGJT(Iityi=_Bp2fD@Ip2CL)F~C6BEX@ z#QnE-C)-Cj-$#w!*KgQf(ACVQ6WP<5=GE&@d=IY?Pxt&bLa<%%#;+wZ{Mr2csir}m zF1HQ0w8!NBE2nJ6+E1Ow$73z~7H_KpuYgoqOL&zVcyku1%?qzDIa_neFL@OD=J}p< z<&^haY#%Df!cKnKalf**DbmnfpimCMBg3m}KXUxJVyvJniCx!DQ?!b!#=YjlHSllG z{Zf=+ji5qV{y{{!9E92hfB1#Ce$u0YZtlZP5G#IBm-O7X5d0*0n|hfSksd@zhF5_F zf3^XU&6W*x9DW_zSJEhniuV$iGyiT+?kWLYGURSc2D&F7TmBCU|FN9$W?jH6E|)V7 zOB3NN?prP>lKl5;8~%NL6U<1|o=XK)JCzM$^+VV-l#NRyB`R!GGCnv0{oGzyC0q9b z&j9Dm?rg2IlDHn%L}a$2Y_ReDzbaDPt8u{sy{g>k&nb^(43;zIo_G_IPjPH?g7H^H zR3+u*YlH)aNcsDR0*_Xa$@u^@AUby8F;*95RmTkh{=tdg~RJH{y+}T=+t;ikjS($dML!xiFLSKp};N8OObL;0{HsAOOA2;ux{8-8Gf}lcK zY!?O_0jfbbnGZ5KxxD_=?@^0 zbFdbu^?|r*9(3Z+}IJ z&N|Tjq1DeTu?_d@bGL#~TR@v5`ojn^7Se~$Hw1AfFrK4yU&IRd^FTmXy78HyHvz<& z*cub>zoEt;LiyAJ=|_7rsg7uYAz?gJ`^YxG?vJ0w7j=CMabABgKK!~pNj7KO+QxqT zSh3qj^cWicwt#?zDfTfz0=p9}#*U1pVyVdWg(o3~)KMvxMlo|3tBt`nXXADL@K@&` z56Q*LhOA^Z?x-KQp()~^C?gPdHF{;o+)V{W0{a`+ephZuR@2~BB z78Lz=UE?NrH3_sGk{XV&g(Q%OLokOZ*lqq1A^D*D{!3;X%NCLEcQDej_ zG=%%pKxxoPph_SPJ{jHv#W7eZL`3k9%?XWE7H)MAN<|l1jBOc&;t;R%-E)MsVF@PQ zJ}s3VYLFLkRY`0BXf+z|ekjXU93Jwg$Og=?!zcr#k5-1xt{%H$Rg~w?ZTtA~hH%m( zma}rmdORWMi{0UUtB;|QX8Y3n<$mK_vKlr;8|IG%N2?5sc%?r_G_HsVz_zO6G!F zgD3uwEO;Bs`&s!9-@)&%56hZ9T2Yif$kDg5O=i(NtB9x*Vg*}hv{ZOFY)d+L+0QL; zSZ%%U{b>A~eW(o4G83L%uEtlHEtKmt)cW`x-E+zYFYH_VhtK^EMnW{v@mOOeB^GI( z+a$Q!0Y~Tb8I#xIj_lhG=dt?prX1OJi0vCHqTnV*psbKT59-F4RdenZvhg0^P)rPx zmfJ>9cx_|)T?5AWIm+_0vzz(-HK1HvRy_Knf9;rs#+5$TReiNUZ}yI+2@`Dnf!yL_ zT4q}h3+}AE^LKEu!VAt^2P@c_uI-Ol)|UKDlhfom@wz(+*u(O4y-&=OZe|V7x^Yv= z0YLIyP=|{o*3PR|BZv#Z;~)#;(~xKmjNi5^J#}KoyT?mj=nWJEX6Z;bR25iZxQ%W zF+z$yW7$V_YpWY z4Rq*ic|FEKVi>OYy)geVi3zhHNoE!?Mc~Jf(wCI7re+TmVN5!9d5o=ragSLP?Y!{? z=ve|kQ8^FSAK(1m<*i>A-c=Jg&(O+>4+KW-)6-NX=!v$My(`^mwj-ZWU^@*x zbT|HF!_6BrrQg$hy>!%f(sM2!i52$gc{6vZ@t=%A;>?2`?8kqPp5?>WWld zyxRMAzUj@Qa038k>*l1C;|EM^pKr(x@jp@w5I(X6}T z6vfC_iHp6#8UFJm|0ARl-S8O!q_DeXYBBuUfHJ-Jsr(TK_Fix10bTTTsj4~7_fQ%s z&1i!`B=LDYkH`n-sFfFBsV|`AIa;MZH2#@`uF`D9SUe|A`2xQcSCfsWFIC=Z6zYQx zd^G8cwDlUX(hDIU$pFJR!yiQYS*n7AEih3o=I-GhtG+_Vd`h+yD0)Q17p#?}WQpU( zjDdpnN|J}F=RH8YfY+m6_Lid+>2&u{1MQ$IFEsD20kn~R(v}aWWGr!p(zmiE06<(? zJdqE9tx5sQBr6Gy;g`(YuQbbX{9MNGa$2h~O@Cp_5I`>C*Vr>t5jsr%QhA>`YQT^NeHMg`=ZvHDc$Qtiv@@p4B2TL6c4AGOK0Xq2bp?>2b zCN<~4gJ(&0n1F%5$&)Nx#dsE__&&Vh`+$KjjR;lyb0Qr5{$^I(q4&Loz-v8b)VDDJ z;ORXs>dLTgIY4DQ0D!8?`x=FCCUoCueHCl*e<1hhc}ML63zctsWC5*w_@K)w9{l=x zN`J;ma{&}h${^M~CFjV*{5=Z*1KxeD6VU9tNPXY3JZAkXGY`2%nSqAtfD1C-_m@Z+ z|5Ng_C-k=cp3{Gs1SgNX*OHr8?B0e}yT2(D6u-OsQ3M>IzW4zE2;7rXyy4jN>(};7 z;T=!!s&!MR^25`lRC#juY-k0ak605Zk|E;C;+{ua zzk-C?C>I%~Ww4Z|?M-~Ej=f`qy{9fo*Ww2GS^*$@zE<1g2-H`>4b$H=#L6W;U}9oJ zAZdB7HolZ>yQ90MKN>I4?BiL$7t_jxT`(0k%R4Qna9XrJ>Nn=>PLR5&j+fGj@4VyKOzwRbqG>iMf&wYOI5WmZCB z0uZK=fvA)Rd8x#L5gymCX!f&|2g@qz@==;?D{a?Z?2(|mcgzMHTv2BRtjyN`xX zgy5TK%5s||xO*dltNtm?i`JjZi^cmcGrKtYqobb(f=`nhUa8#+t`4kL+KDbO1VnmL z44`zup8cy!Q)bKFZr1mAnf*asRkRt@am0MOoaWei(sL{fx9*JhnBlWnzUXU(?g()D z{9sAuEYg@VtR=4h?N*!C*h?pkHHm{4wuyD_h&Wp1XTS%sCaGI50Y9$0X`s^heiG`z z@Z6^P88O(#SDQ;UiX*Bd14@AB?qn-T=fV22?2XmFf$^u9_NR9)W>tfIO|K~o+1V>L zxf`Snd3l*kkDy4-D;VR&mvWLsU+sw z;9C&AZ(4o(lqd1}rTzM~CEf6i)6lWlwa=gZqj2p|nqQs;7jC>ly@?Hj{c{Xznn_U# zM(nkK54FP&D2z8whWZm(V+1QMUcL}>|FT<19x3pht`nB{tH{)Oxo){Hy=J9GI;^on z00c+F4)!;}GpD8sVkf&`D8-J2j$lDbK}LX^FL9Ca^i~Z`>Lwo>azCFt>U++v9ga5T zqsNh-Ii5lJ@(abjL;$Y(iU%30rgmrpP5pj_ku8q^!xE?>=YIR0>)Jm1bZ06z6On%* zwj#vG2cT%h%}%_dNWz|A$;MyeHNy!*$;!Hyb(iS4&}uG;7A3drHALETWoy4mAMG-U zMFVA_VPi=7;H}iMf0kw$p6daw3?!Ek2K`4EkQ%Rm#%nuJ#lxwL*%<5U>pSXX|K5*H zL9nn|%dBtyQN2@T0@r}AW#5}FeJ5b%8g$0WXkgn;R? za>>w`$~-^<;7EUf#@AGlH|IDEijys2jY}1sP9>BLX{eo`^fzkw5zqG;I(@`k@bfkb z!(6U^8jVm%bot`f!!)!)U@$PN8RLzVG)<>*HwmV07E!A{mN(#Ll~zUNDwyA#e3xAv zlp{{>YU~)GS}|+0?L5iM>_B36ICMpew~{ZW5#IkX1O4Gc30HfCSq^c_5C{&$Gglec zkA0<5$~b)6KlbVmOuKIEVf3o}a^s-v@#mS)egN6g9g&P#Vuj!v8#Cb*Dq(wT3UMHc z$tg!c3-Dq+)MSw?2w=+JTDlpvy0!%RtW6*?`G$W+_^A0D;cB%z-d!1{s{Kjw%V8GE zix$wFlJj4eL3+d-g{L9$1xs%MtaJUB zQY_A=I+2JU4v_W#G5|}dfB+!npcDVB=&l9j(>VwHb!Fw_v&3=No}givX_D>N| zs}P04wHbmM~^MDJYl{?)Iin<~^4p zMOhYOP!>ZA(dxasm4kKwejJq&??cNB&ch`>7PY~*Nh}m<36;zByoa=4UyksV<@O2_ zX#Bn8tQ6+LWoe_9hki>RQ9RrG*2g}*uvaHZ+dtt^-nT=;142zs&E2#4cYF)W=Zh5K z^PRP^x}k5Z&)TQ1Rt^!tB>ZubqrRqR6l&e(ioTtLT*hg5Bmof-8kw(eu*fW-FT(95#qz$=*(h#p z&r#(PlYDPb3}SaDV|I z+y6Ii?;?#7KG61~^OWlTuT#xIpbvm?3n09J?2TJRc&U0vpn(>Ed}cUhv!R^(kbkj)y__|drt0410wUtZLJYDMz`Y&q< zKHZ>o!sH;RsP2c2mm^p*JD_6#e0{L3^2d#FPeFU&SNR|TtcB}#!o$$^=v4+Q!hW1# z^a0@Dc^`(th^lg(ZqS5Kl5BaP1lWu*1?%L5h!5<9mpJBnThUT{r;eO|O^~s%*7WO? z^yV*;DdRU3lC4xLx28H9umjtXy?@Zu=@wmbv?Po2e*kx^GG|t+_IEf7p19l1mJRzI z?=~x*ac+Rn&H{X-G$a>O0Rij*GekZZ5*WZ1FaX@cZ<}t>^EYn>2v@p?-zUQ+V+UhT z0igRPSaGX}@0R91Uj_)sbAVoD7)euJfVsF2R`_&%;1A@5E87tuZa8+LBs zuJr#WhHL&8cRn_{46(Ww^Dk(WdId4QBe;J)&|6#Mz-*T@UU~UVs^1tFwL$v#_RBQeJU!euWJy8}{9Pd59 zC^YEs{OOJp{W&Qh6HSpOZ*bA2eA>vNB%Wn+^{fgxU)*)IU%;Z|+4RR*qDW7z<%XPF ze6r!Jpm2-bw}$4oY`FcCNe&lXuc+NV@CZdEf+MclUX901nQ!+l zz4y;Q?^Et&9@u?v^?rqAT9yD}!W!m%<0B&7-)2XNzrd_7de<|gNXGDV3B#!4kN`g) z?_$7XY#HYP?kOCTl9CNemauWPElQ!a2XEvJ#8vvs$8(wV552BJxZQY-q07Rpyofg) zKRpO(+f>iH@IKQ4uxgo}ID#Uc5E{r*Nv$kJ$(A)dXE$09qC=fne9=BcGAkgY_jXrW z`vPKT(^>*Laj|4goaLF;|FDC34`^c~7j>cC&!#>w`#)Y<@lVmdq*eUtBt=GH#qwq@ z8h8lg3NQAszp!LD8wbct1xN*~xI2Y_91v{)sMA5C%EdG#QUwT*&=aY$M;6O;%X?a6H-b0W@8k~4cLh(DJmiT_G?~KT6dOMY zaN!c(gLgtVp#L3>{8(Iem_G{CtiUuzd|_MOjJ@blB^6Xq|5l*t5g+ns*)Qs1ibtvv z6y86&-nD@v<&N9P_c6S9hmmWb0}O1rau9Dqrfe*JQ(&Smc4D(A}@EKi67D| z68dN!AA->Wm?D&LS-zH*a>vLzOvy4S*4?9LPMF zSeENu_whkRbbp`bG%Z>wf^QviHYW0t=0br@Wh%XZju)DT$KJdjt5J)K_a+zPz#FlQ z@d#_jCFD?)ggOTBc;&!1W$%X|K@?M0@2%l&zl_~f!M3kn%cvV#CH>m3XbsYYMV!`k z#lUl*U=7&IBLtp6mUQD)@H6BAbgs&WJ%|;t8veDS+P>}6nQ7u{_IS=I!oZgwD{p5o zo`iLb6X^PWCSnmGJV@i;>aK+H}b%bg=JUf4#5na zE;fyL36sz}Jp}S{$?z2*5DXDtqal*~-DKH6oE11iLhQnxoawvab>Vmb12H z%&tO;kFZ`UYuw%}YAl`394C&qlNf!m-SMXFQOqZqo1Tt5E!kS1*Kg+8-8Mr@SO#p3 z**R&xXs~r1#-ge4lhG2p0O9oK{~>D!cDoikx96Bo;h7V{NGXi&Jp+BM{iM9g*J+>l zrCCvCybP?@?NaHx`EqI9C}~{d7JFypLX*E5_e~83-a`)PA4>JlKfv7;moC|jYgt>j zc4SILN)112S01!Y-sK7xUF{LL>QAS>JiwgdDLx9_+0hY8erSiuQR#)p!?gDX?CWm$ zh7O7b<(g(RV{hv^wJrHZq5xQFrPLY!{8it6K%LT-OWN-3(ZepZn>JjmMNt>6z0%wfu^Xb?$Gn--pg77&)c=%E4O<^#(LqC zO$J+-oI^Mp+n33IiAQnj`aXXZ+cBS;syCmSe6>O#ec+n5nH||>?`R3X0huHVG$9`E z#-OLhJ=2p>avkd$vpDemD)d_+ei!0X)Hd|_Ck^gq!S-4u6olxL_KmlZb3^xa+>ZNp z)oe>Tu_w(wF%g@`EGoB3WOLDu%PuPYNT{5&o$>_!5bCdlhj*lE_ltg6=%GpdjW-{+v|kJ4{p@G$C0&~A!(+?)IoEKyc_ZpYE?!ctVj>)8a?_&6 z(2~PKN;00&E+$sA)xYvgi~Y9>VIsSdTTy*Oyh`pM#`}xz&)6~Ndt=UkoFWIl2+O6i z>OUTkvbO_E-?uDDl>0)zrKLW+y(n@yN!=XF{4uhCbH)3z1-}W@xlUk~uT2115K@sFeM)lXj=2HBm;&0i1?EHu@ z7^(E5aF2A%BC>#;zlIJTYw%I727CeE_`W1LJqt;lo~G3#jnR~_30vDp5=!DE9|(KO zpjb_}!q)Y5L+a0 z#?u^aJ%r)vzaTh_!t0-Y6oCV-Fc(#fHXY!AY7iRdAfjA(f=#V<-)KjMrd|w%vFleG z_`en$|sDgDstDlE$h6(votJ%52LoeMuwFmF*|Dy$KT$T%nPV>L66oLm7o6S z69e8Dw$HFUD}{bD%d?1JId0mm0Gq8C3K1Fp#-OQYqjMto1I>5lBd>>Eafdk-uUjDP zDs|RH3wH2Kec)h{|LFHQT=o6o!;kcUd`5z`1L8``1t1hxh0e$!R&vF2JdVOJM9*?{n%tY=Uql1 z2@wyJrNN>GS$xtRnIT(b=ieFy3w; zhRk+&cVe?{C0pc$diCmPIzE=f+w3>}Lsfv9kKd_F7c!fv*~7rW79p=A2Zw)sLs)b9 zF>VF4vf)PFRW@L>31bXo$Yaud#V7Qn-)%#Bv*!LazPqh5iS`kWjN_1e6g#w=M1^%I zMa?3NPK*S(9{JCG4*`bw;@fA%J}d%x z_^nJ>cQ_HQM^o_pF~+Bf*(~q3g=C#iOC7{*r^~clK>26pCKba0vuR%vnmgWg_Ainf zP*1+mOZF}{y~$}%gWdSdtS_jf7{}W{p&3uqhtV!u`Q-n)^eM10o@|+0NW^DYCwlTB zbY#+G$g?C`SKIAw@nzQ!`4o*$5I8TN{K!fOMn)rPSoOMrtl4bdztX!{0wmp!BbV278nY<=8-gVeV z4J{Z|wEysj-f=v4rCpfi&iSs$*aMQ_jcRO_;pdzU2gR->!%47mUX;F}7yQAj#f95_ zKWtCY$Q+@kj>|Bu*1B50Mt0?p)=m+3B%-n5YwuaEVlnB|>xS~?+q1sp{t`H2)<8Q|<`tDeIGhJ)&J->1-BRg8~_xU1fS((ojOcXH~TEKjArycPsRqyP9~pY)Eh z@LUtS|A$+uC-$$ci7oTjrQL)rMSHkr?ExN=XC*oHRG)wr9zLVQ&ZD)h zghpj47L&RC-rX>8A9t=9S z&Nep{ZzVCcJQUGhs}RguD@uC0{YRQJc=#vt6EV@nOI-~;lPT1JO05`y{G8J*Q#p2( zle>ymA*TQr{eG(tu6IfSH7vb<8XnlxPfpWruZ-;A4w^8hIk> zw6BCACwpuQDh6b9t#7g}zu|TLa4W2U5UPevv3t)*n?CGlJFXI&Hw^-X+Z|vr%RQh8-7i}!Mx+SC)nFI&5vmG^dUZ#mmU&`JVe0@W z)V!fp?=kNKN*s*skRr0ANqW&m0ODcM_Ua!R*~1{peb>|aq3^z2!&*!G>a#!P5#_T} z)1H@l7)(+IFFZ_)OSUKfT#50|Kg|*^q}{7DP7(}uv+K~gt_5(KJecC>yLNs3tgKAS zL$Bkv%XQrX(-IFBLXvfGT)F85R@fOwQP&g9y8d#WhFG^SS=QMR>fh@e9dA3dqv?qg zmH>C~5YSUwg#!7z)a*cC#=*Sckj7(%k%X>JJXK-$Kcw@%$e&*?HJ$=}?@uS*H2J^F z%ds_s+0KeaMxDsoWhzCd%53S_HBDKtf8$M#x6Pk_!-`48ljh2?k)LB{6x(+u=ESg+ zeUiyoH}plEa;3tZrS&HJG6U<;+C0v%8{yV(9iy$ZAVItRO?0Ee;1Ij@ms25xlIq*h zL4#Yfk`L2jf-K}r9^GcZ>lP&Fr)$-JNUQY|%sLn!(mi|4624toTq%q>Sz#Pn8^ccT zX(thUen~2V^VEFDK}ST;q=EkP04a%J~CP#lpyXm;FTBHrdSMZlzG5gtVS zv-1f>yrGS0x-1c+Gjx!{i6KqWLK8|$m#*VMKv9H&{b_Ij;Cy*Fqd9o{k^R&A8$2RR zrh~js-_Or#tXE~-2EC9j?^?V{+KH+nF(TI=xqpJKXNkSb)DDS%vwd1h6IJ|Y(grI{ zMl^s#cC1!HES0v5S-f%>tzDj;vOgqolv|baRS6O_A&! z1~18IJ=hEoN;iTR>2n}KlFDRK9;qIh?^jy*BqHZeiaFMd-cH6AGav7b2B7<0w;|oo z+6=?To(pa&!jQVzLuc6@X~p9&q=?M3qnhVSi~0pUOM;w-Io7Y{Pjk^8wqZ0>JXN8` z6v08tA|12TgsBP9C#{r#&j{C+Yj&MaZwoj{Qf0hOYfH4hpVjGObiTFh=*ApqKl%Z) zdi-OrgQtj~)Jnc00`i#mMsno0Z^0J*!u-D8q7?fvYn-*PzuKvP9Qg1eQ+Msox?g-$ zU6t<0p-pR$7UkIU*VpT0P9v}fU5y`53f1vtfmU zjy)C|30Qs$r^KWU;Q5$D2$0lo$(y(btUHNet<486_7XR8oV&dN(80FHZU|VCo2;9o6vKC&)@ueyFM^aLQqIjs>T0M@FE3Bgtz4b+ymypk;2Y@({O;P_8(~c zMMpw$uqA&ebVzaKD=o$n23v~%x7?}c2QKTKI8z3TfcaNJW)xyoRL;y-lzQJ z2OsJigmGblPkYPbs;!oOUTnvF8O zvxKp{c_Xy~pVR7QURcMtv)w0j1_TGN<7S`*vIo;_>6hD4Dvtx@&7|&d$#J`}=sfxYX3tv$M0Eot=}D zlT}q!hlhuYi;KU0{o2^r*xA`RIXOWhFE+O}FObN{M+;Wp`oG6!%YpBjK`R4$m1nWYC=0ZyVK>a^bZR0DuT$x^wH6=fs7A? zkdvLDpDM+i1f zUqrsln2(N#nrn*0!spfyp>w7MKV`$OnZHTy{d2T2N%2Tt_?2(_;-N`Q+pqo-eFOb3 zzBIShTeJbH*U<&r_`Hs4}y5+7EsGxZ7uPX_s z^7Sew94-@Q4H*j|a6r|hW%=*c*4B5S`7NnFUq6T?xf=Q#3GFS8KT`4(d1lBU`dUgt z@(l&ZtM%__abSJ$i*zGixCnmL=FOMEHTTpG8Ou~7m8W`=0!ZXYe@ErvL_tkqDuj(o z*}on`|8sX?e9!0_y>l~%QA$Bf=+_8GA*fc=JB8SsJV$tR$K)SD|DL(gHlOH%iRN52 z)8N%7u>r(E-!KJH4Pbweg2>|eTDauM~y3OunT-b)&)AdQ&i)+a@kBn>E%mzx< zsy_G}#Tog$W>u0z8keBcuVFRJsM|XrAfU&D*2K>+2oo0+7s(SK3ud|{kubCR^r35F zr};(u{uGf?Gqk*=ecy0#Yj%SJ4bUXog?&=U-j_s-O7QHA?1$zUv0dVoa8wU4qRAPw zCtFv{wSxzEU_3Pb9G3**i-9{V8fG#|3$e#mK(P2m%6`Tl`1vf85H|*LMT$SL{Zw`` zlg|~7p--~II?jIiW|pe-DUhZA7UQx*o9WehY$H0$avTgbC5i)qXFv5-RlLUi#SDW) z!o*j_-~b>N5Fdo$g|SPl29lrt(?!;&t6Rq}!^;B&!^UQSCmOa=#0l(Nb@Ff+leCzy z_X52{iszcvs^aGO>QyZnb{7y0BLiCTdybU*^*KEnFcf2#$MGiV23uSFaRF8S_M=`& zDOvkFX>em-fFH6$x2`Dfa=T%*doaC4=MZqmZ-RmT=;1L-Zgy^k1Q3G+SJdeD`MPlq zVql{Bo>6F@5uQCnq!jAj$EszDtpLZE$yy$*=$}U;u~US2={m8!Y?Ct$y&unx=JN}| zUpIc{E(QZ~;qpLHqfinu&c0BE6CxTeTT~EPr8(Q`g^n*%4Qp)(hlc-hd$gJ_U=4x^ z9p7L*mj$xKK%`}CN9z?(;&~KfBC3zqHX0+pj*@=NJgnn|ex@JYuWz(B4d4r4U3$w$ zuw^r$&2+y`uY%-O31i@jek|$cL))o!O~uFEg;TM)$6c%G-uoj0Lh5Y6tYHSP?+hhj zIXG*}Bf*S5tLdM+*CT7R7(6mJFKbHi!d=qTY1Y_2=$nA`@bcH9j&5QsU4euU3ReDX-~m+6Y$|1l&Q$2Oo+AQlqp z{yMRAPF7u}i>bG!%i*K4@mL9CwnH4N+ zji&XnZm~Z(sN0Gc!PDnTYLh?iQ&VAOLL*U#&0Y&KCPC#Ml;nD-Vlx$gl#ov3cL7F2 zJ_rNsRD$5`Fk;SadyYGYO1EIhZiR5hr^xp~?~X)WErts9`0v&h0*i4nr0hjy7nby3 z+L=;nxffk^iDdfiuU=mPkmae7E(Cs`BR*X-j;>}IIaKeizR7+k0!4E8xLMUx-lY!{ zMmSku2p(JN1M6sjFTNiO@L{=UN!S8e!+GgSRehv!&UaF09WGDadwpXb7ZOV-gM1+= z>L8z%a?67&!=2XcNQnm*k2ef@0)<4D!XHiyhyNrOkl&VnIrH~MsU)n6iUJ1$hFG*- zXYLY1Vqh_0<(I}E_Ey$y!|-ipvS>F>w|Ooo$|EFoce!49@L#5V#{N%R0t-r8%#ELB zddbffJEtsV-U!Ao%uxc?EE|Yv^aKk{<73}?oI5}6bZq8^>;r%}=Qya~@PI?)y|BSB zguL2vswMNE56Q0vPI16*+yC9U&-@avnhsDqMvogDeNG60!=qsYdqpgKg#5JA^k{xN zl>hOMwFlck#t)=FCZMeE|LE)1?Fv9cZF;vOder_u{8#12YS%ta0t|JkX9m*hy1L`K zHfmtTJc=LB|6&NXh3&rp7T44COYwWQF|Dnv3%-1tEUXJ409^bP0{~{x=%8>cxYwFk z1H<5@fG_T=6r;9_r7WKg!+kc1hBR<%DcnU3FCJf+dmD3I2OgY#JN^9R5zO$Vt5O@LnwfzCh|lSH|nB; z-hCI5_Y2+kvzcLc94w08180fuW;Sv9liCq?E%?_Dforb+vRVcP6Pl zis5d*x6;YE;`jedMen4aS$&eG>U%-Eq)QX|tL&V{)ca9gvDTdEtfduAS)8_<`Y!-B zp{9O_oc-r+l?Nf3-M(R;3CZM%=axHtAzJzhV7W1=?gsa3iUr@bZJCr7+@H{w-l8ihUoWnIAGKkLS+uPpA(gF zq?wE;UK|Lb^5Ty5-)rpYHSXv?RLj#|I1n|pnAg)u_Q!ipOF#@uoNnfi1gK06K#ZMD z@+8`-{*Cblf!Ue<6$kf2jOV;W8%;>9H6Lih#L*-Uk-rxQlQw!ZvR2Medpy8)MFCNh z127G2r*Hs;KH;=s!DO0VQTOe5pNu{n58VpU)B-3X?966vrBbxnh@~b03c;+^Ak)(3 zISMpUUV_*!c(Ma_3M}XLo|*{!V<^Aji2xiDg(%^TGLw54$Hm;psU~-g6(ogGFahTC zmnqD-rhy?aaWO!mu};(jTE3jCQ!qd6#NyZ=t?6qN5Z$*$ejYwVxBOq@{9L$!D%-kH z%NuUdh{uWE1S}TsQfL$xhV;y&-@JF1x?P?u$RWi|iZvpSlTqwy+^GMe3nZ%*|42Se zC&<&QI~9S=;PH)4NcWU9(+AYlJj5R`Y*aL|pmS$oBEl{;C;IWG?BKgRoxtBbrbi=> zm3rC8pq}(+cpsXj?)VpAcDokz(6?iy$~Zagv<}(E@?jCegQE2tM1qeW{$X`8xnz7n z9{2PlljFs9VkHLk6R&B+wCM(ebOqRoC4t+#`299L=q^Zwc#0-(gP7-TT{}ozi~`xq0*!Q^06YDR52-5mpo2R zeYfelogi^+debP@8@-F$SBq2_{Y#Jl9A2wJsj`7wC!<);y^9BmSbF?-wOO`!i~sZ- z)q$I&1k~)-?6%=+a^;_1v)lNKkIJ$Yve5)9Hs_m%Ew*xZ=Js%#r(mWEv|v+aC^SaY zl*Hh-oaF1&yMSzuX`HfAIRm>*Ky`ukPr=u=U)xzR8pt)KB^R2VfcpET)%RX zuwZ)r>G2Et-4Y|#N9}J)UjMeMk*t36CFEY_e(7Mn72Rz`lN%MRt3>QX7K$ zt%XDaDmo;16i!TQd>SP(oO^xEgp-DED#DP3YxA`|=+i}{qCWWbs33v-|jI5NF=o&pP8j zUjDM>)&5=*z2@ssu{QLVv)AW1Ba8t`YTiog1D|y*!yPmU(czpbX;PwKoz+3u_siWhv9xzK+`^O8zsvVvC@F*B<>Iul0FQ>d+|I&s9 z?#^XX5|UZ!kbg+R#hk&J_vZ`8iQXCKPOwuZ7oDe#>Gr4Pdin*IZlc72FH=)m#B(34 zMG1ys=lGE2ZYdtSAv#HTZU>5DZQBt$C+x zh2|$0#A!ruE1;{b@8)5)R?rnr-M2q?d8(2nM~>~iMGf`PQ>`1L4)`!sa%UFPwfD~Z zRN4%Mh6v4)m?|TVLoIr#DW^3166}Qr4I#Giw6jOrD6cr_pt4aTQ>Du1j6Z`WG*PFL z!X|>pY1Q@g+kSpAPsFeC)Qu;pdomPauN`YYS`5Dvn4Wwl(8BAV8-8G-PR5)|9FQLp zNbEmO6b3HgASYoo(A9Jr?}6cSaBEOM^QAO6&T^`&_RGms9r4IITR3wRnr=IgT-rb7 zSv>QO8WRj#>6Eg9LeDPk&%f&y_vyC^{_Gfx?D-XuB1Cax{WoR1$HnPI@~>X9Z$=iv zNm#>`kJ=K>oMUA###ltDb!Hx^w$DBh{y63~Js3W;CG04CB9XYC;v4U7I^(!9B4e0d zyD!Bnp1e0ZviX^VxHlt1B~omf0b)u3$7QQ$L5X2+gy1H$=AO+L7ylhv&S(v;EsV};t1Y%Fkv!_6B4#!%oKyC2BvnK@4ul-E_xwjK5;nDProemd~DDp!#`bXlpZCg_EkP^T=Y|H) zVzFW_;#CnEhIalMtfpgn`56gjU2GFb3sl3i$f7^5$S32*#0Ba%K(C;Y%(u|=cI@s- z^k;b*!v*_*~P0*B=o#oYv}b^x6Ovpmt$ z$!NkL`)EteAIs>kapAOZuM2GaJ7hq0!U^@c13UmK=gQxdnRlSaNRZ)fpbUP8ql(>E zsPB4a_jqUw@ApvFJyz4d$7&Xsf1M*W?*P(r>^GR^!%zU~|0DmP z+7Vf*(v5nbZ{Ic86SctSrB-d(R97q^MuHo#g0nS)xw!lkh{cs%x!YHvRC+&Rc?ms%5gasfnXD*~blS*R;d{2!y?|3aV=xX&%B zmVmktU3YYVY`pUgssEw*hY9t)vi84&cVvM7WgIIC?(ym5zaKTdj|D&zjp_c<$UL}@ zC1Tp^ep(A5g_O8&EgRp*N)7Y+DC>V{?s!D?T%W~i8Bo&_6OVJm_XKNesYZr$KSY61 z47B0zCf0T8-=_QwfG{Nh@>&W30DysZA9oPjVBlI^EezQX2BiHFxM_&uv{wq}ZWGq$H*f?0`N% zeX51_nXGz^f{KNvTjEk=_j5{8{hXlhBGqHf3*x@-|2+%NCwPS1n2s!PaTIE9@p)W}W z&1U9qto8nT=F0txryhC3Nowo1lSeGH|Af~B(I zChWNab4^&=--`!0Is6pYtCT|;(i+$tx0s0W=W)}arf|nD*Hv5Zlje{csH*hhN(zQ92Fea) zUjlSfV%B`*&#*CF%v)gI6%0pODWWn=cB-zqEzhoZQr3fuezZyFmxY%YH$Nf%7c2A0 zli_5GuXFT7Mnhsxs=uw+ofCC5Y>owoQlCf|&{II`{AQ2pmBK&ScshKUOzeg}y#=7- zR^T|rr6S3KluUbbp{x5wxPe;B+^}Y%0B{YP%eAm!#dJYI{u*cbQmGV=!|2-gVPSv% z_7#btBtQMp`gn`vHlLLfl|uj~*XR}tz~9;6XAK=s&$n`u4xrJC7w2tpdU!-ODxtk^ z&`Gk16`n6kV%o$LniJ17pLxJ*=>NnrR^VM@TAcZU{Qw=Szhs}jAN3wGlJ5y3tH^Il zts|oPSuI$-S&`}1U{4OHSaPv304$esW!2~ONKkYUOik?qdN%}geg#^7QUQJiD&o!; zBDmNU!i&PcU-nW0zaR|Zt_&d%3n^VT{gxVhSbk-Zk;moS-~d8b!slj7%cz=uAnb}1 zI(ePn{IDK}f~S<$4cDl$iSJdZ;0(($XG}c88*j(YuO_73pA|#fw;ixA36>t~KvxtCD7QR(SO?|(g(Qp{M^v};!ZGp1hZ)R^ zJpnDpiu2Li2hcC0lIgWx%{T%OG$!9fzd%1s-~Xt0ev*1c({@Ge><+D)B`rmw`BX&E z#Q&Z*+>@|+#hW9dn?55&|3RNwhCl(XkezSzo@i2V$;Z`T@^1OO7_uob9zcV(E(ln8 z?TsEaoL%b1l^qOz8`R34wcqwS|I~7&SJ&eBuDKeanf$u8hJ}rqDrkBLoBvnN)1YX- zQ;4($ZWF8O&X7GuXk3&JfuG0Cn{RiLKQb6m)^sOdDysYZd7H877=^imSx;8osl-rB z^!DP@yAwvo1?JjZHiwrah(PDLMe&#HZ10|UJZGqEH+f6V0XEfXOLM_CZ82OrDCqKP*zBh(mCWKnL|#RS`Bv0ErrIF%?>IKT(ef{6(u?cs;T zJkllO7$fNroE8RaMlO_#WjQIN>|)}d04dp9tqz3D7JuTS3a}nvhbyB}7Z%|S*GDki z67j?U(qOa+!le`G;{;biO!3K`uI%;+TbU>|p*t5DjzYwU+ z@{eB-Uv|HB?n@FkJQf^GG->ea@+LvVA|V9F@4t_X^0})U8yc#F9b&;Pntq1${}eX=Q_VgL`1}@VhqFb$yRwekq z`o8BRLka4L>fSjysx+37^fpj|9lN_pc+iziVKB}ZBRtuv4ki*HBaApCoZVd-6SoHe zqH!0p%}>ztQ5f}fnWm=y7DTT)m$Gr?FM8@Gxql%!>fW~Rp0(k<(&3LSfAHK0@f`nk zgHt1AuRk^sy|^1s@Ah7`S*N~32hozLxZA-pip&xEYL&5@r@V3E++bYXP52gfv;YK6 zHkBXV5UKcWv>qq&g00knX9^SDO;Ios$;%M>4IBFqSZIvI!kWNrS%2){sf;7DklfJ| zxPgd6fAJphi`ecEs4W6+%>F*;n&ZVH+C-bt3rY{V5kYWQIBfsj*cJ4nu1@0mEdn6y zXA&?+4;3KP$Do2Ocgp8}ZXVZbLD)>AUiGelKuXUjqc1-`Z6JnylYV?^_U$IY&Z{_x z-8}f0;4z(TJ;bSNhwbe796{3vtxsR8d?e<*HulJGhT_v=NC)c-|X zeq3mK{fHv`B0=&gvN&!dORAFME9gy>Sxm5Unf zrWO`jMY+k*E&iBmZWvc3`{oOILq*^W3mGd>ro9X>AZ$SZkabI?N@4P84Ye3p*gSPP zjy=m+ub$eX{9Z3#EokT6`9;3pb`wQ(0wl)lsLf*RiS<5y6F&_+@T%Cr^dQVMY$GQ> zTEJN1k3jz_&on`NZpkGMT5;!p5m?x$#LxGVXDN=<2mdODGJ6^=fOYdoV-**X2|&5Z z6d}RfNKNI3EHo^w=7Qp)6J@$YeXYo*Y{Sd*F)Z%ao+Jz_coa|j_hoUXpCQ~5J4=Y- z(I+gq+{W*F*LIDO#4v~m4|YuawoZ25{DO-nYu*L`Gg2mkc_98PbBik(V`bzw<=8nI zv=mLf71)h*H52aj=Y;Nhv;IC1Xbo6Z?pXyI=>#H2@ZBV*4}l5jE=`>c4?^+f0W=PF zM9OC@v>x)YCV9xO*Y9~Ljyh6pr^+63!)m+4n-1QaFp8s{(+zC3!c`sz(9=s#vjnnk z?+v`&08@-MzNzV0HT2Q_t~uFYXCu!$_~eOVf+coGNyyU0TIZmD$6%^5LogAG72UFs z>G$x~tgCSlsOnQprwQ+ZPVYwWjE*i-+wHUPYvQy9hKsJC3JcD#9y4Qi*PjnT62my1 zZpOwEBzm;c+WPSvGN8}VV|bvSgkQF)(|B!!vsVKH(X2s3ht-7|>9V?|4ZJK|S94Vw z32HZ6VeAH0!p}(%2pe}3KLmb(@`hfa`PXKGGbm}1Zm0p}ki`Q!W&3OjH6gZXs- z-L{Ka|5hMtp3K~jQ>>^JO_7#bXR>W=E$)V96P54g@;7XKXg>;Pmb0rYk$>7;HD#8j zyR8qfNfHUlo^%3xN5bqonapQEnuNNf6sq@`QP_1{UgnW~Q;}OdEU(H8)od=6waHnz zq}{}2*LTKP?YWPqmw-FyAqUjJJUZjKeU#}@S>WHVuoOY=?$DBP}V7Ti8Te2}biPX{E!g7yZH!_98eU4FxgF<^>(rQ|A>?BWtO7y(@Hs)x?ZYS0KMCpp7HCK4{W)4rO|CE zmfxdohn^X_{VAr@&~)>=RZ@2Z@VD2_41fFT_nw~n8)5e};1yoDWUk+OtE`4<1 zi}3EiwYl6z$5;l|%gMJB{@^M7t1|@N6%NP_UNRNU2vw~L5BN#z58Ot*^wkZD`_=@sINd#|^jD90Z)h92)ZWuiFDY)q5?JB6=yI`$l05Cz$bnzP_+!Uu5mu3W7OaQ7zqXfm@%kt{ zAItTagp%T>UAB^bt=&> zYwSV<@Oa+EHpL3!nH5{X{-Vn~r^#}(mF>x+gqsky;^LTB{Vu

    &pyWvSP;9>w#sOcdlgH~SngZgcwi5?sgDR+8RDc`@ z^^QN+rw1c(sa2FkE@lW5JMp}y7=@>uL)Lghixdi&?5`1gENn;IL?gk)8=>JV4q+9V z^vFKVEyLH6Zjf|S0(>R((%s`A&+YLrVyAKW--(^T^8QUjhIUF!N1Ct)(A&++sLSjO zx*iiSMOr<4uqtX$TG8Xc=&by!YnWV6qGlr|c5TqGU8Aj{oO&GE2bw?1Y7Un(yMw$o zyCu8DxR{3E8@tW%NN!NIJxEsLT`;-nMY)XVaMB@ zYl)pqY-lR7JMO-}5rg{lZSMqu_XqqBR*J3D8ydUP21e0|(cH8*xzTUZIdwc4!oq~+ z^QzGi$Df067|c(B`fxep(B7f482W5`pc-*g578F~+YX+viU7@s-(Q8aSSH0y-fuU` z?F}C=PJp15q5^83Nt8r;SC*$ba{deNB02erSNA#C50^V-D#ME}b#nOAU5Q#2xS1Ap zt#1kOgs|5DFMXbe6iA9Xv^3PEb_<--uP(a2c^o}6(GT}e zK=UonmN;kl`u54a^THv;?QF!%PK9NC(7>J;DeX}H-(xezRi%GTZU!n-YDqnP4>7gB zB;Qs_pj4l%G4&gj^MW%B?c_gB3n=i9m3 z9U6aGlPzjl0|PA!7k`$+zQ{CrhgUHc&r0UaDv29(1E3Dew6s&u43OEYg++7@2PONP zc@6#6AzIb6x-Vq<{>2hny4H@6leb%W`!NPH8g7_LS5sLN6q(Qm?VGB2;pMJ<;$FB% zrq3e-*Q$HBM6&6rdMz;Sj`}xnoj8-0wP#%GJclw(X>21{AKSK2hT4fr0&r&mgv>#KbNtIERzsV{z> z#f{vgBD?8XA)1^n;>HOq2;dv>N7MZcsACL2s|#>vVWHq)y!h?_!T0lPXpDw_dZt_I zxIFMpaS1##mK1bVNa*qN6&ne~k7Pi7lZa5nGu>&aQm?qq&U>4&-k^>l`IkQpT`g3C z6?C6HWQ2OlZ|=x&PL@h$*tWHy7J@e*8UiK8%xI@;*WHyr>eaZxihAd+Zw2ZK&viP8 zwtBYD(A_+=PREd%CmNeku-!>(Tk2Jt2RyBu{-(T#HYCo2WfYBGdQ030*g*pVyZ`k#$d);=u}D* z|FMuPIekVcj2TKgb3rQ>zH*KGCD3!@5QsY&cBW@9RQDGA&~simaqc{(qNrIzy?v1& zPv#sdq2E(UnwjNN&k4P9em$&6ewsD$Lzmr!#@4Au9A;ogRrh(W1rvt_dmT~Gz(mMo zwC_+nXi_fOb}c(BZgep)Du~TqT-E6-+o1&OUlHRNPi@)Ekx^Ryj%(5DLm;sLY=W|>2LeP zwK9rY8PLA9cb*LpvkRY@WzN@Iv6FaM8Z#(?UOgJuw^+&?to+vS{(}_M4fiv8e2$ev z+;~|BZ;xFZlZrE!L^rlCq#X|6CS=JF28&}%+F#;iPXPWs%k|2wN`4S zOW&N@PRsE!tI3A;sPDPc$x4*723>jsq2*VtqPp?@c2!FomAk4-UnUh&zTj}Av75o!{MK7B=0_L*JQ z|8U`F6ekn|yA&5x&m6mjBPh5Xa&l$Rv6&qhiaL-s3c4`x1Zm|`O0ev6ptymjET?uY|$1}Wh z^V^egNVqb;)0OXgITqv1Q*SDki=OK$JCVQKZsR02;k;Nz!)P4PX!LLLOfS3Znk`r@ zE~ntHK3U90a=28K^{f|5s;&njaS`Wxn@3MY^yfbR#c9Xv`g#yQD-~6057u;DquMEK z^|1f(_n^#?d3<}#O}(ZX`IlJg)3V=!!jqCNa7KVnE^=y)JqsUOb=q7J?I|I}a_z4D z$<0Gfk`x)ol~W?7@dCs04ZTc$TJi5nI76PyHnC*QXJT?JG^&v%q@4pT2T0h_8hi5$cfjBn=ZO82FVWBb8B za4>OJuz$A~#YWt!n5-JPh;m;`!JnT{wn@rAAeb}RIDn&2% zW^5eXD#U9TDDOcSh diff --git a/figures/wave2.png b/figures/wave2.png index 94e8d011402175d2c07d6a998242e8f849060caf..fc9fcc6bafb4a6b1ae2975d5eb0a982c0cc21fe3 100644 GIT binary patch literal 33393 zcmYJZ1z23Y6ED0JD=qF6w_Tt(6fK1om*P^~7I!GF#U0AxPSN5l#obw);_gt~-R|=K z?{~jE`|OiUCNp!AoXqcJCQMOY664LgHvj+tLt08q82~_r006J5Un9aPI{L;Q@W!K} zoT~Wq^Yg^S#LCJF5+dUK!u;v!sj;!KrKM$0PmhCxLu+fRj*bo%Cg#r0&cVUK-Q67v z3rkj3mXMGT5C|L^8j6XDDJUpVP*5NxCH3?3OH52WKR@T@<}NEM^YHM{P}hJ$q0f&O z&(C+y&;P2%c5j}acgL$Q@1IY$@?GBHF2dD6&r3*1tXw=_U0qE;{r){aV-m^Zn&gLv zg_9$&JYTFF-8|)+;8go-Ie&Tobba)^lBNSds;R9#ei=LT@9ggND=xFt?$p#&Bs9Dq z-3NU~&)fi1Ndycjo3!^|T;z!`{i?>}zw*9Dr>vTP&gxmAMny@tm8{x+PF;EKN;IB2 zeG+qr=5L)GbO+#&x^%5wKR>r%5HWEhk=>r|JRkP?eZpWM#?bb!0=}Yn-l@Wr3K{+P zaIw;jMlQfB2MG!adfuNh^?@P*^oE|FuXjdQx{_j>=31Vgm-a887ocdGITSpKmm zWTDT81W6&_YWrOy3xg)j8pN=&NuQTdani8-Zw*2NA5WAaSz%Uj1} zAR{?-{kg?W&zo(k#$ zs{U7bPKxP|=#(fIQgq>LyKk#4p>?@owWa_xkn#8Lv5?^>IbOvdVB=c&j%alU2*fVL z3W$(PR@EoMs{nw2DZHcB^zON~c3}bDiZul+#G1kza}BXz0Kk_`TI{o`+x)@8u`Heg zpzrip>n$yL{@hYg3UA>TIhD`vMM=beJjPQ@A_fdo;c{Z5Ay!vT28#X8t{lUsDWZ~6 zI@3T3HoOy&$@}+NxUwI09CC-~=#*VOym~V7=;llDFXL>zYbgLLpb72EYwFD`;?g}_ zQBs>fN8!c3;% z_mtwsMMer!aR!aZESMM_W#Y%&JB(ak2^7n1c`ZrOPjlkgl`wW<^a7#5*({C*F}wyiIYkPT>dwF_m!zU@;`OZdF!JqeU-#& zTB`!BzX8_JI2Yzt_g}M&+|tl-qKNSKx&KX05l>o)PiYCKZ2d7tjS12mSoEKT!E zu5~;e{L{K0WXM$lKAF~5RSDrD-^3!~X)@SF0Iq9DkZ@d3*FM{lEp>H!gLY6HnNjRHLQ!?JJ z=c5-vk^f1=NM84$o0@wY!!6`pAzSf4*Hop z+=nff+7{=5HSL+KXM?5`%?-K`CZ>5dv$h(+9fCCUfDVKC%Ci&>O&=ZA10jbGmjlZ> z=1$?g(bSr)XB@H*^<4K!X7uO5|Eab~W|;uM|)nsm%Sk!3}}pO&t`+g6)< zD~a4a=e6;ExDx~<^Wt-+$N^==2`Pn4I8zQ}jeRB)0ha1hLyVx3qg04EP=XS^^M zo~Ref0U_=sDnJOVoGZ=Xg=Y?VbaPJvPJkaG06^Z=dIp?jE9tA#@fSFe^>SI95S0er zYYK(aVcY*3+&qN_XSRQ1=R5${0@neRt6sm^F&hSMeI39Z0VcyZ=NdGp7KO#oAD39y zz78ml6ugWS1eLUXRLAM++5p0daU*^+PP0Tfr-u{6eE>bxbu9l+D0{FRI>o||<1y+YQ9AW+0^?yMKcJFoT-R^& z%HkEqYGe}$70*Lnsd+a9YDE3TWxn+Sj@pTkHDsMR`b&b2E^*={w88H)CK{y71+3ya zHI~zjWXQPYWOm|P()PQJq_cyHgtYZ)Hh)y~ihr5V^i%})os^Fl843M9SjuD@6(0A6 zvlNa4+Z~))aZ`KeyEyrM7+OA5C3}ZgXK40~g2`_DcZ=$l&&!wkW4>HXUe$YFgjdB` zcsz`859^+8RkJ<)(NdFd{6Kp9n5cU%t+L+ZtI%%zWGf;W9wzQk%D%IHrEY)xN#n1O zsec?O_R-c;Q8ainaVa^w;wh@(+MAL}de>piWBv$TfWu3_Fjj~(oLs78rHo1{;3+^*&__MC4AKFla-=Ov8nw$hDtPX9Cf z6crIj*f71Va};x3cbi5T(BfeCmoj3sB=@x)X3deU*F7uqjOyZ6Rcr09>o}3Oy1A&5 z-&t|By0u_UvXg_K-|0s~U6fY`FdX$v9YMV<_Gz{f4nNHRq5(v|I1NhW01+gGVyk4juQ4(A3ax11k~DH~meN`U?G< zcdl)xoqa@kk}5{78igB7Y=0dt^>EGCtS;EIM#R6U{Q8;&D;-<^RFh=s-nMC2r^S%4|9iok_w!U>o#g^v1IO)g6O&^~jkGkm><1BTwMpk0U{fH{+23H;4X zeDirNV-a((J>R__4CWL82C(lsE$0wMj?B(wq3g_xMkoMH9Gj2!betUD%S7ZMQs^cj zrQ$|$YVOeFh?nTX%E9vpD(cq^5sZ*~FJ{P=Y}H;XzbX!7>i)29$_%lPvELq$z>e$qLk}vpx-GqsZ$HME-x!;=j;NBO zX~}i^IYu)v8(WRYT3^oDp&cXPkH@hW<*^ohwqfSQP0yDQFH_$|(mYF&{^F?Lca4zKAOQRo@tM_+eRb{pj5h{bTZ2T5+?b9~ zae@uM5x$2)IMBNe!026-RR_Q8^)rZr4K?NB=%nGlM~W>&;$Ua6^ARgMdY4a2Qz-H4 zV8hwijAo)>Lt@|yOI)5U{~|DTeuAUV^$gze?wwZkTG1)^}}8_JNkKv$_po^ zpld0F9Y5IchNvT(5qm<4k#6j*!T!PKmfLfa+LdzP*u>e#w-agULBi;pi2pkOa{zOD z@h~tnWJs$X2KN*^>uDcDb3VeoNcDfG`dHIv^eq_tSTB=@(;ziYkQWc~HSuN0@JQtp zBitF(3LpT=|D+!LsyZP>%l3_U;CdHw>^F&F#Gn9d0;Um7aT>Ybq|!Y|X%5WsKe#A})hI?X_X090+S__8m)))G`VyyI9mx?0-EnW~lI3 z-b9!E9$yH0pQ~ibZyZNZD_~bRFJ}fPa?6gMeuudu}x^^q5)9U5V+ox%qh z?)I{u$@+M|FflRZ(BwD%g0r2^k7#Ft8Uheb6+>XyMF|oFSi~q)CpE(JwWdlY}|e;*99}za9|tx zlFPOBBoA$lj@lq&YtC=0P0J>N;=;dpRE$`@d;f?9vwm7I_XY6-RmSOu2-&qiq$pD# zm6Q&8o}83DOv)*1O6}+teV{&x9olY-x^j&(<|NSVwEj`exW|KgtyW7APqwh)ZE3u0 zF|gv>yZPL`-JGM$0(FukDE=b&{A!Nk!eT_@@<7?#OwP16)(mRfl6SR$Q}$pA^*Qd? zrXGtq>QS8(rmMcKOv#e^+3Fs%aGibePEgK@JY@hr-jhLt9(1xDTe`j_>QALz@2lk%}X;15IL*)r&4 zV72CJ?v*^6(kx`?ikGKG!td)xpuU?RJB}h-kg_@{dr-aNx5!^xc8g&pe*NGi{Em0J zB-}j%#%YL(3qthW`07y0Vl?}(9&lQRk(*hW^;Z`B}8GS?8I_#)Mr znISs%+nK+C^?%K8!^%%Rb|hup2f(ozR=F)@_k)oqih^7D%|E6*_}4R*`i(i;R4FyyIc@%H z-;I&UJtbWlEQ?`4wKlf@0HG>m7(C%DWaa=N8c^wuc!gYcTyVZ0v+b*w2!O-cOY$N%3eA z3jN7UYu&VPWD57uF6}WU;^_-1rMqeH?%U-Ku(7s1-gg}_A;#4z&Cp()*F8SO8$+~n z<{8fZBlhN}R&p88*s*?==krM>CqibuT!h!_?UH78{!p6|92}NYx&OOQ7%Fh4*=t7$Fo^@KNZSlHMFQun^7+dUh?{O?!qL`T|Ar3legxvl zke?SU1@d5B9>y zNLYS!Q$*YtiHHFw@#Lz*N8-E#1U{g#-4#09@-TACXaS;d&lziSr9BU_`!d#!ZnjE? zoP2M@?d((ZT4B2nY<-MKI>P81TbRaqvK*7M7`m7(Cqz=8_1Ml+wQvOsXnZ`|Bl>2% z-mUv*PFjztBYjEw({oGbW+BsRIChqt1dh{5zEX8E)j{55cQ7VoxPjhNT|A5TXNuP! zL%KNYHE>nkRsh%Pq}{82>rd7jf~ocbL0G`FCD~gBb5gw_Nfiao1^v0ncL+Rf$)ywT zmU8jTvG~?!k=rJDAsy*aWy__~$bnUFRgZnElJKe@Nz-o8`gB9J^*3Ow{WeVVSH^3v z#o{%842F(d@q~Z)CU;ia0eG2^{*DXY259boBY<4j}PNB&@z`HFquuR2MHwQ zs~^UW((Dr2K;93dnF@CzR=ze#$pvCa*Kk1*0VzTMyrPG8h0&^dEgrCDi+_Yn=2@4O zEGK?w({L0b;C^&u-6%*OW$ajC(l2O0mp)m2XvMpta_q6w*loY8A2avLrmMp~Ns#>9 zGw#dkmC({H3^P6}a!iL#w9(E;&Xi|hL(t}m_41)N!_TEF-)y(+ht0u+Bd<%{N2Xn5 zEO)u>1l;70JD17MWq9jGf6@Ob64jwalRL?=>T^}&T`bGn9_VpFsm?}XJi6R{g-qY3 zN7ef}ju)Ms|+}bD60pqQK7bJ@mE>Hg4%wVtsG~TZB=@Q zWF9x`gA^2wGj}c+7pm))JSoFbNSbQJZ{nS?yaR2Cs|kXzKC~{_j~L;lxgPwHmtAqb zADt6XQHUjHi$xmUXkxojrVT%o>`UwmONP0UNA|=kdd)c0s^SaRrDmm(TV3sU#GLoW zpOD?EZ`Axc5NNUS@@!&Ro0T3C#ld+{I0oE~hMD^&J~ylx(d2aqH@0 z>30q7J6>{Xf8UaHJ)1w+8C}n_{8HUJFwlER?o~m#^n0(*J)BOCt&B+Ra!(;XySm0H zvTAA?B;))y%B2UzpJf-ee2ddt@#73LmusWUVJVA!c;$DS4Mr(wL*V}SuKa?rP;Pd} zd1U@_>cS42XM_gtJp3VA1%BUMGRfw%A&4=r7#RTvy`;P84j+ecd^M{mFr!I%uS!3C zN4|1Ncl6RIzTRg9-d|#i4q2DhiqhwaF#e9ejSJ0>E4t>@I2zZWpI1Q7+^*0+2+B;q z{0lz^Aj8?A)TTV@YRd!KY}U&9xK-9hHR`Fbxa)oDVB$sroN85c0Q$c@BtX9)auDHd zAHmBPcupcsFtJgnH`FPF4-hDVjr9C%#ZCP=``_0ZHYcOupB+AooV;)}SX=e`m=%{2 zsf&H+YcCuvF3TS5!;$4w@_#Th?n|{794w;lTRT79U*mSMm-ewXz~GbsJ4Yi1EbW8E z92@{UI%3sh-4Tkd=15d`);ldAI$vMO0 zX5ZA;U3^cIZzk2|Ep1AEEq>nb6!=w?jEi3vx(aY5AVP^UCsQhQz!GslzAnN;Wx`y< z`(TNG9r@OEk{It1v3dEkXPGJ^p@*IKCt)4pQQm8ct)2=F&J?daWDJTO7GLF ztG#aGUY+n;bFp@83+yv04&W-WKb0+5BF_#3;4P95fY0vdj}fZnMJS5ezlzXb`%{I6 zNGtCmv}Y6gNU|fH%H@6xd-Zt$>&g_W11!%KX%20iEt&AHYA=45FKfQX#Lphgkz~w? z!EO%rr=aWAp%>dK+h_f_3jX<5f$8S6(U6r9(+G^|GZwCKIn6c3m}enGXpBK2m&PEL z_&oL7PYAM*RAd90N^yx{g*YKU z4=aWUXnY3I`WB`NV8i9abS=34vp(LucSa!;NQv9NClY9|FipZ~2|bvya8Hpr3FuBH zpKRnQh<1_ZkxwVkeg&H0adOTS;>j=I1hDU9EN=))M>cQ)FIB<^yzEpxMroBC4Eo}A z#pP|iY`hL>+J$d)@k9({(k4%?7<>ZRSP79PYA?Q|1kE>= zc!MK}I^2Y{uyA;gyGnk0#{FWpUKad6 zsa3+=+)TVjQJlhwgIt#Q>}lcmn`h^@T1?~ECk4~BQ;K4eZCJ+z0|i z77Me~+WTrsCNabEtLZ}(=jH8ucu@CE)~X0Idp~k$UZ&@H5`sX5lRxQIqsmz!y3bl!&Sy*KSx5cFA&WxALB5}v zm=qrDKjZ#9NtdhBGM~R?{*?j8-bzAEkzaN3WXh}|EQDP0wNq#vutZs~PVjC5Qt|tU zMZ_j_-E4nwb7o|#rg^O42DI(-gi`GL?j2#kXnETYt8x!$Ph6iQK|9UU zuiBq3OYTA-)kmGH8Z(mcS8LYppi+RpD$8Q0mc!s!OQ7NMq9>ysD*)=j4%fvgmM9QY z(NyV~5;KI>{_T`Qbg6&dcAnI1iBid7cQ7}Le93jooNon|UX_*=eGh^3T|<46$UquW z${Ki2R2zsChnreeMe!1rPuBHLdBItyD)zW*~9xH z48PdmOOlm`S|d_&jh;a53J8(!mf&2~$oYF78+nQ5V2^jc_Mkr;W!irWZvK>AE-#@! z>ZcEVLXec|+TLvFX-L$&|9N$3%2x$=OJs&MO`JG0GaQ&sG z$!XIj3#H*$1!TTwVA_?$}xBo7A=AvFd;H*S0wcE)Tyh9&N!GH7J zv8_SFczqACt8a+V_)k3lu{mGEKI^QWJQ6ytG3Gw&(*anZXt?xW1}Yat%N;xS^fnlw ztZ9`$r5irGw-?EIq-MWII7n9rPMRPc$-ny13IYfh-|V^x6+7kl3Vt9_XI~Ckt+D?H zrZFB#x87I}DG$1i#!7=9rL>bU5jIs9Bc7U8!ezbI(pY-*pNyCGAf(TsX!GF9RYY6GjOn`E48ryy?<3U;dzj~pZc!cT!#T* z3A7!s3f#Qd+q~PyVV8p$1+c<0SYy) z7I>M0^po#jSF}<1$KyBnJ3tjooLO+zF$`Ap-*)a- zgiz6o=c57wh))Tdr(v1@DnJQRGE@3k#>DS!747YG7J3F}61aPj~Dlx%LsUdNo5Em`?D8x&+F3Jm5&F+)*N0<{z%=y^%VAd_Gz{$x8 zjr2O|3`P9{Pg3ZW1Jc5aAbPcnbKK9LKS>f^kQ@L&r8oqEH-GMSh9+f#;f3UOF$f1M zFfuZdnU-rVRNVcAc<>)S1OTMcaU#z8;bnG88ZK0#;D2I`fiE&lcmx4W0k67U5<%NH7n)7-*~kBGHtAIv(}^3;#6| zLN~#uVgQ#eJ`!%L?G;^kP7UK8!B2*P!AjK|!!FW!n?u*!pXgFX<`7;~5mH;t98AzO zgjwosh{joDV6!&7Nb#{YQg9>kazw*T5l#r538KrXdI z&NlHUux4^(6NzwZn6fOsMwm|1k`t5+i=Galizrrhk~!FmWIQKB#FS1|Xp^`mkyX!g3@0IFtEXX1PQ8vRO`Ax}H?ZtwU`I9TR;gZK_FFNEEHH|zKDn5utr$LioduHAhH$bu*Knyu2r3B<-!+yk4J$K_2Go8`pFm#nmWNsj*Jf|* zf@>Xj!7dqn*!}bX_O>KSJr>25*t<}VhK7ndbN;PsdG)>){yIhdk%KdBl`nqx@Uw~C z%joakzx*5yK=5t=jpXpy@1%;%I&d>T0DZcs^5jXB5p-;TaXk+%a@8XvEc z4KrlR~r&!Et=e%DDlJpgG^6Y0jsED}&e&hS? z&fkzC27f?(O+f<8OZGbBcI4F55cSK5H2&xWy;{2V7--%Q$PQgnD^gvS*Oj{Glio~H zIez$338`U`{5|yP+G+6U2T+}dl|MF=P%B=>WO-&=r#1Qw8zrN#El+fnu_nD?@1Uu@ z-?F^iw}gmz?eia*WU;m+{I>@QT6MJ52@c5&(1LoMwgHQWR4ASXZr>t)uB7eK z{w4@ZkO7}Eyqoj_kWJB~qWmz%tN&oazN@CDZaf##b66vio0`BDckffr<`MVo7#x;B z4*4sq^xXsFZnc;nz$CU&us*P=){y)+26f?VE!pXypHq5dTr|wW!yY^BBb#|MnssDU zIJ(Sau;0KP^XJfXIo}U(W9EA)G_N3@PcrMt+Qv`IG`uN;+nY&YJ3ONHcTrbd2$LN! zN*7i)LugoyCa4KA)$vwOehxh~kCct}fp`C#W}J&Q)8$d8{skNL37wMOFIyMp z`2{!gH%^3#C*@qd1YC^)Q8yaBb6UkKdJ*Ab@-D^abk6kTUVdb_o%e$3Tn|BC#g96+ zHxY=wgY8&T+=>PH1u~LlosXz_ot&9LD$h-X0FUpi^@V0;hw|G`I($J%B5#P7a|EDY zzwa1z#e`upl3rnQpy;wEXf-YIZD4Z6n!7lQ%bU)q5jNW+ZKMi7+Zz>;oz_ebDL;G( z36}B!U*!0rkv;MS?}AOMOy{Dys1KNZ(<4D)XN12e8_U?bYECQeQ0DF#r#QH}WSb5- zb{b{iJLUF#(uq+u0|H{H=dUE7(kgUqv3@#qg35xNe0*C3S~2$RpXnS*hmxsHnyw3e z2rRt*F5i{^-Z+&jgKV-1qYL(ZNN?w~cIpqy_S@3IAMeAAkHTS(OcW)45DjgYoiH46 z*I%y5gC1GwjU?O?ZDv?DN1ZUQJu zK$M>o+}6m3_ltsajm``b!y=i2KJWYn8o4^4z%C?o)!me}5aWMh10M6RV(lPRa?t$t zx1qjjQa|HzV;{#NEzra9I2$*N6YSG7NJPYsY#r?g!97oPiHa4UQPg=j83%BjV* zu2y=8$+W*SW7=}w6v3dBDaK0Z9@d(-JExg##{Xj_NLe5)+ z(!n?W;WaiQLN3A{m`C(YC2q21Wwm-qTWrf3%uT7&={iD7YkLAeMlYLgkuNd(_)KuB zMqBAWd9AFvvUipK!hP2d{gq6%VLapB zY?Cj)y@b)up>hB8X7PTiTpS0fYW6KPr zb+r>=Rbh2py|+%^ZI$waYl%BiM2jb?^Uy(LGT?iY~DL_V!^$@W10|f>WW%$p)FGM-EWNfZcNZIyCC!cFjGP6!YOS0X-Iwm z$uI1X)^nva%!udX3eUl&C1J@Q$dcHie?*uTxgRk9WDEr_9*wd*x4kww{2UZ!JjD{6 zq0?d%UhqjdMqnZ8lV!#Pp#F0dUn@Z zY&#P}}ODvgWbThWv3w}>{1wn@+M8BlKdk=VvFe$1U9xsN0otBzH-KgP||79{A z;H~|a%EZk0lKe~c&&&yaC#l^J&lQTvgfrE~!fQk?c}j0nU|23k#K?wJue1N&52ETy?; z-JZz|5t`$lSt0(#9@$1PpkC{uDfea3^c{FQS_NG(w35N&R8Q!Dm*ViTs@|o_}Dd$(P zkxc#_HWMfkddP6(?bkT;8adU;@a%aHZH?Xk{BT=$88U*mpI%f506W18*5NQhibA4^x>S!d73KxB!nvj@@! z=t@6i&DH;t_E)68(n!*oW)J2qO)0JCChT<*BSwC5e5;{50RF_0Nvoz~dp>S9yi+U^ z)jn=!L3EB&@s3EeKn~>WQPawpNwLY&nCbzHn59?Z9O=bKU?v>d7_OtdrbxjwTyze} zlpl$XJS^4L&r9NR!XDKNr-slA6{px>o)NOBjDo3~kUH&oZHvHF_UceMy(T73i0}xh z{O}j6&eG=|2K&ICx-{v11!@fp!|{i*Ejb# z6V7!(05v$SRON#-&`t^JW+f4L5a+^2#w*7;C8wS%1PMd>>!5w69qMEU4YYq1PQp!t zQX`%+#H+JSSR41OD&^c3p=$E#4HgOpTfqF^RM<%S<5N6r_8*VEs{UAr1C)G{+74&b zoDom)+iP8E+Fy~RGn=N1XScT2`Urq!Z@UD_b3=!SQP)}~%JznepvT>TO9Bqg6!PzR zEr%N4klRkCp(?t*xnRz9@?)gfs%I~F%pAU4$}GQ|yg&GHBG4Np1+F?SUL-X*%3kY3 zzp-+2n;=?KEc2OkhB@&oD%+$TvXSncnWm%jKyxp9<~TU#ntm7V#M!8M+^8lD{N79Y z_-lt1_q~cs;AB~9hS0jq@??p)L^d6Kfv9iDc$Z9;D{Qp25M)XgjNmFR$J;jCoT%I_2RM4l)Jn-m^5bhf~1m0PPf?LG41Da^`} z${%c|Jm~4~0of(ixK*ty9Oum%S}HCw8B`etvJpI6D?*hzI3x1+6&q?4(O1ex+HBq z=o6#<8H1*zrf|JGiUVC)ClRgDvY4|g>MG9D;j0IrhZjZu_E+I*x;%0CZ*YJKVv;7 z9u_9qz(1X!8Z(7XF0vfUN~Tnv_rq`_c$Mb4P)?8XLSc}(P97Qgc+GfaZ?O2)*5?7R zZMGVjxh%~9xT~UMb;=-8F0-laPsIx?zOAU0Y;evQBEO@Fk3V#GK)fkaq8#+jm~kg?hrvAMfi zdp$SK>Aw1BH_U-)Y?P;abE;@1SUCm#4eWDPJgQRjxJsIZCnzRnag(LyW9g79V;)Z*o)f?;=H9yV-AITXR zKkf1QWzUDche(2%NE%NiG;GwTILW=+e_fW2iE)L7*0z2nv5s^ncRK%$@-&rHJHVXv zrw&CUC6e7TH$2W|Uz2M0%GQ6nRk=nw&5lCyp~`>yPROOHZ)xj@AN>7eFcL||VIE(V#UyV23t z;CZoWdu7hDyiQe=F6fUbxdrC!R9zDAK;j!Ba7>9pV7 z?74GFXNPQ(L;}ID#YqwY8^ynfQk7XXHdO2Na)&^|bsHqPlZNIU8qAinf^w*S5O>(@ z>)K*Xl0L{HcLzlsB7M+1@k3T-jDNOY7g*bP$u8xLXM$oUbM+h8mqKs7AtAbrTNNrS z)&ISCeCEu73uA_1^$_inU$@^Plv}pGclc+dV#E)A)Wnl-b&eQro|TpF2L0V3Ivd;J zf#||Ju=ilzl#7IC-8Xi2%Xepsh&4&4rf8$}b4lY_pz50xL=rHnr)wl407*>ggo5c> zIKLYK|JSUY+1-px>{cNh5IB&WOef#}qj^A7fMbYECX6(=*VgPgP@Wwwg-0Dtz^KzN z!OMHMuhFx7FYp6W{q_ZI4A7vE5(&CmBeB0m;;4KsXZ(ThP~wI6%Jjhxp1CKntPa8y zdZ`@g(h)7V#6fjga#M=xJNEx9p(*KbA%Karw3FlYdt9(WY>Sb&@s%1Q7?q==8 z)YrcXXk0oJWPrYsoRwG5Gc?oluUiQJu{^y{;Eqvz#(_yq9sX z|5rju>e1qQ+U)d)ZU9Y2=MZw^2F)V z2Zx#{#Swvq3R@`MsB%24+k=5TP)V!~bB{+RN9^?_>8DDwy689r?^(NudAnb@R;b{W zpwONNI!6Lf{}z+y>b~gr{ZQNQ1e$9T!@1o{GlLBeK&C1l5kAdLBcIkIOwF%rqTij2 zjdGsNMPI_I9a}8}t^Ner)IA*(Y}yqq#+HMC2$F zux&Z=vtYd!fJM`rlzcgarFk#Kl)$6*`5@%5*<iZk}tj)IZUqV>b`1AEhzPYCUk_v8go;Rs2>zz$cCTY2 z@2&F9VNi-uJj*zEG{Z^daKH0BM#?oc&+;|Ig;W>0mgOP-LRfh1L51pHMp~3qYpSC> z9rJg-2LhExtr@XF7pOCKd1Rwrm}d9aOfb zTO0h@`e*sdMp|)2uT4CfA|g3kosuiQczbSJd>3+v7xzi9U?YM4^W)W{1Yd)Ic{oM-n;VCj2!6nQJdfu1Jf4fSlDqC1p^p`?Dm~A%~TbZ{V>l89F+I5GVDAz8>>1z0Q zNv!{H(XuGIH=c;zB@m({^$?e5ry?lM8x+$3qn~C#kd3zIssbB4APvR!xGu_Pa93}x zrdkDnHJG^gtLbc{ZvvWDtSf-Q$@tH$Z#!YHBBGQBbmrH=T}yoUwR=P3bw41Z`sL;J zxgNfnQDFjt{F=Xs>9cM9VskuclkP(-zi<{UEJ8XMz!oaj*dvF#(jiW)=x27H_?edl6(Mo;{s82pD&XqVvw$Vd)R1 zo*!_!^F-1Q_}d|fAjo`Bw$WqRVyH#Z`n)*9BbO&07LJJ*MJZT37>x zp~m4WTR_kxhN2h9bM~g#jC6TuL|ST!8ZdGw9i0Bx_$?;Id}fBvKvCDgP@D4HsQ(J* zTzc~#%y%>y*`$j!qE#w+O8!6VoL)_`c4lUML?&|hlDU{>jwJuo(wSuW2m?t^M~yp_ z16QHQtc+rFhjsm1YJV1JTRkE)?a0r zIGq)uwtsopM_JM1#$ZTGBzwpp&F$-}%&TAE}@R8Y1JyR8_e_M5W|COGFpwou8B_8;yx_^u`GU9Y5f zCO~dy%0M5wgJXp-7*Mr1-EA_6u3W>tZW9%OvIQVT-rUqp*QAzo%0jac1B9JW5;Gb3A35qOTTxa^J1lE#LYmzw)8F zuG-rqK_!%Bk2_O9;o|4d*xN8Ln&+{dCB_5Q{bF_zs9Xb)&}{+qoDl=TWTPVjIg!P; znweag1-Sp@dUoy88u{yJWAvh-tBW)x=?^Jwd46$M>2YD?HRt0J#bB}Xk7Cz~a@01&j_-(M0_==dSHJA@mUwUNH|JE5vR&;jFS4DQ9w}CG4n;s2 zc?%~#H$b(m_X7+aW91pm-&$pvAnI;giNHOQ#K`CgE@l{JL?XnwJol}p()zw_;Yz)l zjCora|5uyvluU}N#NN++HJfQ)CDIed6>Ivn`PUXy-_x(V`YwOyH7>l?-c}0S0_AXU z-agzJyrXNE8(!EMxytl zop-)F{#urh@mB|-Esx?4@_LDvRz(n!?zx^%(7!D|ZW*eZmQ2gHQy>JN;GTt}f#?j< zQR(7zHf9mbhNrzZjm)27B3*k|i4Y_yAMD{M=(EwB`r0VYm*cWEYWpn3^w5>dxa$Wb zO&@BZ?qnV~(-RhqdEO?S@tD!pmgUc zDuGP0d%HpU?X02vbh%C&f$rgo;XB44Y!m;uknSc3V3pi-d7|J zSR1jcJSMFEY7|wQIo+CZuc>_GPY(YL!K7YcI}Ad8KXGHd^pBugn! z2o;ol^^d=`_7T3A9Nl!se)GudicN}GPq{@$otdG8HRgadutU2{EkWCQt?&1OXrl~N zXWKLo%6UI5^D$4U->}ksSv;JsuIOw|pMs5Nv%R`5YB89<)y(4J{Y7`Wb;ER}P1r(Z zAiqFKqjOaU&NOefTOj72t_~u6Bj*#ldcpw3nC;T4`V1{NeHNsu0$o zJlX5d^-ZyD^q~|=w1@V$5AYlmNyn9rBV^8#)6(Cd(~zb!KUE8qRiKo~hM}WtFI zVw1elT6%lE+5l;+On^3ZoD8x|$a$_6E!k@OTiZil7xp&QCMSI6xLib>L;2{kwPnh1 zg0*F_f?SGn%9dvfxIFT;IxXtrm5do{VkH5|xx_+@2P#wvf?djOx&KdLZy6L<(?yLE zf`s7i5@e9zZh_!7g9Zz3LvRc3!JRM!7~FzOaCaTtgS)%CT=Kl%S9R~Nd;WBHO`kry z`t+RY-FvOI=_AENQy!>;p}IoSA7gG`yO zFdabya3@qd@nlkC_|;_wipTVWluI)HdcPOt&bID~`RR)W+uTZluuMxaOW9raV}K+` zzpI~dTq>e-xN2J7%y-M<)1&4#=7!c^0?{w}`%TA{l|^F>XzP#0Gm1=$9#;I*;p(Y6 z-Ju)J!T#GTveVCJ)fNg2&rwtUGLbAMzV=s9vs5X?cUOrG@5)GDipT%$t!s_shG#PH z+}2}%*52}|rtH7-YDM*DU5KeES%9D$!0U-%`@DKIl#7vEP! z-Ii58u(Uw^4XgG0pbS0DwEFUNhpR|=d~#Al!2nq)Uw(HZ==(nh)(Boi%2)?qFfsJR zfC%~KI7{7nO<3J=nXOLuAbt*~n3(p44?iZkQpCRFn#3r*Q|N3Xp!$f__NowKf9~k` zTu~+QdKYiNESq8Y_EP=st5+MC6Nr3$ zSf(=Jl*x4uVm5XW2QDeRy4I3(fMln&&?L3+nm3)Wf9|y+z&H>RMuhETSwV5EtakKa z|DS995lr9hxK57!5R)b}V?*)|j{Q*bGh0xuq~m5_I8*7D3R7?>g7~`FJ)9ob84fd= z+2Nw=S^tG0PVhSgMHQNxk>}Pdb&@AXuV}@nqf{W^;0w~fFvp<*Z{lnCg})Mf+!Xs- zDO+~^+5Jk-;?!2!-lP@z?~p`kMDMjLTsL!A(D`c+Wa#UEArULE;%nfB)9D||`-;~J z{2xECN`wBjXM2v;e=!lk#$_oS!hcNk68alGT8@8A^cjeNKo};*e>EqSmNIRuh0$Q>#S65yvmjF`K{ILGoRglKWoCStaWhWFt zUCg|*!AxS#VzCU~>_d-UyR=bItduxh7=amUJ$c=DV2!4pk*BN+*wUC6^_#8DtWFF-qenV6Rv;(GwiP^Rg3%B+FE8M%oO!Q4OPsHK7= zabUcBlJq~eHL>vCjRU#O-rpkPvv`f2dqVF_?^-AZ)6?^PGjGzXIxKdKxu8un%Lb;) zo-QX3={H`-4X*`P414Gf_XfF*>9Yiq@7fDZ$~ZAmCF997H610Y7~jv!t_qJ}qk)5} zdZi8n_9}vi+))|PhihbJ=bB9V^uQcF)j4Qtrcu%vDd>kn2}LpLiCG{?bic0 zK!Qh;H9R@tbbROrR@}mWZ5E8h&*XXWD-7+!30<&i!lnu5o_3(xL?iaj*#o0H&nQve zjku|pgvimOM;}U-)y&_NtnYCFn^UPlmwn^+%n77!3enovVUi)dOCFwyarMdHdp_ET zELGx?|4ML13ux0Zil5NDIQN-dIBE=&RJq7;Mhj(X+MAB`H94QBO_W2^%Yo)Y`TIUy|x3FO*m_5)7B#`>p436@@<_FD?M!#mi)DjF@Ww_(T#E| zg3B&=u9!#pGypbqr(HfJqCD3RVmf@@iFn&X(1~Cx^HQ4hpQzq_O|8pln7*o}T)gBP zy~&N4VHYo#l<)Z{u)>z*N4z$!>^-@J1E$!QvbUo(((7z-yQrp4QxV9<5Qg9 z{zTHWs$`+xD2F=tIv19_g=7)C+sYB398!LznvlcxK>l|RxrYN+D7TRv`uLYy&4P-HATNZRv{S zXtt!~e*b0b-_;;Qc|$~-8A$b*pv%o`^!TMgTu`Vdv!8A|m*0wL;u^Pc;F|>27ITqsZKw*zJ>yqG*xW(WcH&P<&TZ=(aHYM* zcD(^V;NKraWQqCN$gXIg+dS(Stjn-7{Zj z%VgVh)y+}v`?h>2OYe{^o2orqa4~S*ZySt_EzwL-tmg;&_=nFwKtgP z(U&iM!gF3Nm)-==Zqzgor#=e`ya5*f-zT6 zRYB!Pe}B*G9Vd~8!kZf@iJ4~-q80sI$>0USiJOD|diC1%o=P|VD(c@5n|(cO6FX$QRm^}4m&$pDg&e?}iO)F|} z-uwY8yiFW(7v;2`F8aIfO8T&!z3o%(xZ!&KrT8R#oGM#q!;TMFaeF zCK}mHNmZYNBjJxU;GpK>H^^b+;5vW+{Xl80mK(B`GMZsS!LdGL_j6|SW~tS_>jk^~ zg_`CeMPT6bGK#q1rV{f)i;v=rmBQA*F`qhm4ogDFAefn>z+9a{MTAuG;A=P?wW-wk zWr{+VTOAo09kJ9>h?R2=BxzbO7ra&}qZRTgy|EFqB}!mX&_7g8(X&H4V~xk`jM&N(_El9GSKA#q}!05;%p za>8d5t3?ok>%bsw1w$p=icf;3oU+Mt7jFX?od|YM7bud2xXBMEdVQyr&F?|7UDbWy z+CL*KtQF&bwh0iNCIX7}MZB)^t78}OE*AAZ;DI|DP%->Kt&u>~4$6b;p!NTzC1ta6 z{304efzOE9;{6=3m#B}kJPsgDGyW`71EC6%U?&cu8H*%dmLlI5;iI5xGx8_??R#sA z=cE)k6O}0)jMSBR$%EKoDrx*^e1rheD<=hWZusoM;<)6|ZV@)7G*J$yaZHGo1t6Zf z8suLlf3&s3Um(Q6;AkHSk10|cYV=ael(ySQHlWlvS%!*ds)%saYr!aaVk+oL$?Fows0C`Qu3HPKc94xjxh|46PVEpuU5@fc28r+=^2u`IIr zDbv71=GAlkeiY=FL#Lq4uWD9*- z&|v%%1`2z=eiMG<8W;4(wzGPHF=r+T62O z?Yj-GaIK4(>N3s8a}}6byIx8{=_Q4m_6y%eY0j%TOs`f<;};NE9PV(p`(JapI^}@` z5ZPj%9PPSA9Zw~M&o(zaG5}g7E_om!0k+8g%A7cpI2hD9-`mFVPpDSYV|X`S2+0Je z<7t2XT}-_`A2T|kKkcFjzt!KAnuCc{!%EyUQ~5fPY9n){1YIl^M$mw{8Ape@2LtfH zAEvl<`%R%2^YX=9xM5(G?=IKQD@5C>DC0Bx9nEL%yZ+Rf?6j1gAEazk62Flm>^2xG zB9$pR7wjmQDp&V^xv$mIUg`^=&bJEnTsxGd{)RHAIW<34c<9v7p9q&Y{pBp2RYR-=6wKR97*|u>r6aB;zc2URjaFPO=w2%R!%6qhs zy_8%huRpr;m^h;)+&9hI#_nN7RtQCg00lL){d3uVG9=oAsa+Du=+PsQxrgCQMG6kQ zalB??j)n)C9@EQoH{1m@`3oAwlNvlR(phP}PDVfYM^ zo!OPjO15s^>!I{~?S`1&P+gu1bHE^zmCl#E?kNge$M(|>+evf<JO_6ZXaXo(Nkkn}|DvqDTz@gZ@yH_T2KDr=Q}>^Fdx#`Mf8{s71?(gc!NKJ7uL*N{ zt{RO7W=M!=m_?he)w3GCEJ`5Ggl+~FRf<*(Bv@EuM$?7Bhzq^nq{F5+@7w4wqo%n4 ze|l@aMb%o!_b*NBjR^(xV$x43hJ<(*>UIn;v6YY8MCNS3Zm%#{%X&q^xR8s?H<`he zpS>z?=)Sc!T&Xf^eH)2_-IiurIEbK9LZXDPV3mvI3-s*~!<3K-JcfuHJ?d0u1<@NT zDI#ab`&r&L>=?>t*2*Qy@T7+=eUztdf|}2xL=iWh&bFLfUkftq(_w%5Se%?{>If64 zgBG4LCWc$vzjXQ)(C+pzq?&T-(;8jjZp4Qg=so3Rzo0@q|IjikV9`k2^N4v>{!JRH z%WwJKs-DC0X?N?%3YFO`nR?$J7V9Qu=c46v7wA6>4s-T$={r;a2IuZ{B`8RQB#^h& z==w*#Y9e~>PMY<-G-5?qqlds%eOnRsJ871S7{{_rX;iQ<=)3u@3_>n5BeN7D&Pdbu zbbP2+A&Ha2=H)L@gy{ksuwl_hdX0Mt7BEzY`RN zI7>1qH5v~wk_Y(2utgZui42;GDCD_1&S3q0`Yt{d0qjlaW>n-$ z(%ssWh)X|6>obtC>iC9Rp*F>?X1I6Jcb!-|*tK{ja29U-oa8%B3S-~rw8MiD1)y07UPLWgGe(G4Up1ZJ_cBsZ8K*tO_E*5Q~# z^)5u;e`}yrQxB;(T+3p)Or@+3b&a)fPEPw)al>cXC1d0+;tQA>`Nrt|MYFb55e!k5E%G%JOX} z7Ex}#dGtN{#{x$JWS8YTYiEAxZ%k{y*rjFB(%f>|c;i2O2g{sxzuWqJwz>##&2)%T z%b9*frhW2f;Yx#ByA<8$qiq*0V3j8ijotj!9#8Rj#vA+D0m-elEBb4m*fy%XbcCtk zTMcQ!H=WZ@1uL;|O|<>WjJCaE4naGg18GGG_Btr-;9QPp5#X?bvsXk)Bt!6#O ztjk6bX+qraaBxOcwJ$T)eynt7c32D;uLqj({}_|8x35}f5ZHO;t47;Dz;{a%KL^%p z#j_G(LirC!t}XlTyp{d`BeWr>JzA3aDZWMMSiy$!LyEB%@_v?J8sPN%m3t|iW z{EbxRbK+Kd2I$+sdm9@YlNa5TV>{_h9YLNy5i9g|TYZ&l(?&<`yhe7KU0g+WqBTY7 zsvSO46@TxJAY{{TW-c{iRa-R>J;Qlz|K(xuVTj?|7``{T{s9?&=e7hJ_wNeW9Im&X zNx5|*Y$mn?H$t0An<&NEzA7o~oKm@SDW-gq5rzoZ@($e@$aD5Ku*Cs4yt&5tlpcL} z6?!9Y4a{M?76I0;$mN-c+m-#zKT!I$ZBvYfQ1=z!C5Ty!D(u!bo$i`7`h)f5&)Xo!Rh1uR1`7 zI<9_XPA@f`Prv#8<3*X*=rUW4+Y0}H>4i)jvD(~3lQ|-M$JRFkgn7PEK^Q9RD@E3|?745FtA9qdzC$i}y_PmLW8W;{10J zt`bW_kkX;7<(sPFsw$I<_jK^?qPCG5)D5l&X$l?j#8yJF-}eM$%+3GW)fLZrcy_pS zvJXDY*u*lr>Lr$c-k(B_l6o^6Nr6zn!Q8BbU(IQc!F z;WMCZ<%+TYBbe4EL00;^+(3NgMi_#aDS2_E`ezLvDQ5kc+;XMl+vmP;)>at&iD+|r zVNhQ3ufFJB;$gd5{c`#v9_^h7R|bm~^kB1jOu3Di=69%52r$*rnmT>Efp$#z7D`!LIUIHqtSVyxVEp>1@W3LTO>|`Y3);?M(aXGhX_h{9@QtB=ADyT=F zsdo8LPnhMHG%oMaF_>5OCGb?KMS1l{zq%Zy^B&9d;xVd=q#Hvu>fX@Ts~?MJ7v4y{ zd;o|*8eN{WqvaUz2f$7JdV~}k0TinlDa*N-_`6JQa(6Lx5$DJ;uegx|dYr=C+WbL< zhFT#mThiF<=)L71L=KB&l~dX~r29MKqLF2!F3zKnUJ?0s=qdid*v55vZ*+mM+~#8H z&^wjXOY6{-ie-jt{m6v&_oZnaui=oIHJ8i^dN!n7h=e`|4P(3;RpaI$PAGj1Y$BmZc4=ME zY!sN#_86|w_JO4A_w+OekL1i6mg9P+0%$F@(U8`Lv6#N|rhD9D6aP}|8W^X5`1U;QmCo;hX? zp135~M*hPDiyUAykNzE3+>m`O!dy!W*;q{PNW|2ltaOu4jn(~p`{s`j_zLIcx{n3L zH{D~oPp!ggeF5zFX<-uMJsW<}W zWWbpu80R{w@GqMpEn8}=wWUS(K*pEdaq#e-X*jKO)4X1qUW~r#n&za2t;&Z9=md8T z*SVP;vty?x;oGByc#cVF8dEbNf7CkEOPaVAE+`{z5>TH$zs#ipKLjegP?OsmO?9~w z1EA^eTv%Jkek&Mv*M7`fAe?7i?WwvqdA3 z5Mb8}eD=a&78u%Gzs6zM-+dneF%s)3FB5P}s?>r&o#g#RMyF3Yw%GspwedUU6@8SG z=MKii$YibmrB~F19U6(5_Zlz~x&M^hf;n*mp$FU2*q!JttN!pL=Df z=xj5&Yx`gDA0*UwTn(+k?Gt2*NPw4Qq;6hiGFFN= z`kNE9zx1*nsuhi3r1`VnT~~urc5V1@`Xu$Ia#(P0A(HWO%GsJJd%%RBzGh0DK#FfG zdG%;rK$p5lk6lgLhi=nM+Kb6|-?F?NKiYnGwxDHcpwg~Eu$DyVGgq6|bbt1loij{m zFl3gv0=Fi(_eo`UuA`j?Sj{Dw|B`w}&z3lm2tv+%UNq5d*_}o+5$Fcd&U>01WUPcP zDsE7*u(T=8RdUT8Jmde|^)iF2^EtC+NV&3iO7JkrYqbbrIvoceLB3MDS!a}Ws$bh1 zo2mYsZb+&=?HTWwm@3ENfSh&0DZ`4XUn`DjuQ6h@xFQ|x2|n6V*)itUo#L|KY$df4 zcqWl92|QZ4cjEDXt*<1|@R*el$8I_B_f?dBO{GV-7GgAok9~gN?d;t{4D5%;)2!<5 zmc1S^-KLs@mRz%v|0dZoH>~*lc%I;qjFt8Y>)@rWmY^x&c-v-ccKOd zFYmw;N+Z$Dhr73@9y;+jh!ph%?&p=oIVJ~O2VawLX+hBSXZF9Lb8aVKY9xojh8TNbo!C+7S_?g>Gvuy%vzeVPc>-=zyKcK3Gg3o7>bZt4+mSm93u zc}qp2w8nX1W)%y9k}dSLtGn6p*J+Qxjn zqFW_xkDtd%w>yw-iiis7aUWwHYulu1o_5+|{c{vi;$C&^cwkghIz0LtBbtEqMv5B{ zqSmud(_}6ltR_TSy@av99&%li@ag5Kgm{BJ-!8Tk9bsnRkCr4&*is{ClgbW!(q~JY zTgK|ZC@h6T?+l{Vl0^sd2G}WB_ru4hpuWEFYFQVdjK~w&T@MjrjIW$DutnCNgx#j$ z*U{DF?nYA`x%}#{f^)?t>|KN_-X@$*%ltJ!Pwt%c7Rp#OOGcq;x&(y1LxB!AbeVL; z<7n-%9}%AULSLHkg0NWEcf6xM&-p&rGx`O=1dK%L8}}Xgp$ctoeDT9%r8a?~AD~{^ za#15uCCR44H>%@F&EW$F-e!=8PE+CjOABMPioOVWhmZ?q^qBeRqp zw$9WI1Gd7g*3oQAV;wSaKVjh0VK**HzN+p^S$Mjxs#G+RI{EZ-OzmbsmxpdzGESuaZuvt15c<*d8Cl9}n7(>}6*#04W`Om@v^p zy*njbF+6>}v-cE?I0eY{)8S$rAN7+Adx}&luyN(k3T4j3t%QHz;CH|6ekdzNPA39Q zP2rw}_Hu=viK`ehEz5{=1D@ZudMnIz=!KW&EwNlYWh&p-rp@go?s=X(0Q89w3w)Dd zFB%)T4~!bE9ZS40*t*mbk4QFS7JAo{_Qpmgl#1b=wLaTMp|SI{q7I=*xF5D&{+df- zm;&!rvrT>VVyl0Lp}nW!*GbU9k4wch!hYZRJZs%XCPp<(rh+cgiUm+QBug#23o4DCKxQk5Bw?*Q!0jfvs~R?0BBL^fRN}SZr^58Fu5R_1Fhv*j5Wp$;ITl zdl4Y6uN}Rf+7}5>xtZyEm25I)OFiAJO{~Oy*$t}Nb{6)`aaFeGY#?7|bmV+y+A{sz z*=f<=UEjxx8uq*!L4cV$q@s9DlYnkFuV{(EGgg_Eo7ak%HgKL=;)I6hx#ng?qUy?r zD1}#?*fvgNIQJwql8YFV9%n{T6fZicIQFATIs~dluljEIU6p+bHg6ni0vjDSO*4|c zd1ZRNT~W|Y>Z0%3g~6T+AHBp63hWw&NiO=2urt>BF4_fb;N#y{XgR;Tn1}|@P_)Ip zsU7An(5Fw&X;tx4)9_E^M%*}<@>ZqAKtU+uAQ=Jl-5yOhO@&r6e*==X3Ta(vC^R6~oiP#(ob?fZp9w^8-VjI4_Np~WYcRYW0%oQmIH@d2`Wj8W6kPV0Mo zOwuL^5R(_NoY$=~jTqpDpU)#2-r@TWy6l>jlLg!z>hwrW7O@~n_jzKKE+h-Uc~`6)gCA(~!*4)mlxi_N zt>U z8ZnL9#yC#}?msC9fZjEY!HFGLk*`*u09>Km7$q6oCl_scP6|ck$Q0G!+PkoT!4Knws2W`=S`j(DT2I>{$sg(6w1F$hUAhEA!(%PC!np5a8s2O zl+L?LkmSa}eG}yW(;R2E?&CL`(JsgFwl-8=cOk#S@vKRyAIL6h3Z zTSQJ`LSHapZ~ zTjY!_kkznfdxo>d&S)y;ZY5+QjZnxpYSYKoO6;^CVDK4Cp?Ez z&c;&9Dh9KkWj8)c1-Xtv&9r9dc1&(a53WlOzcQpheXr$gLO-*#yiM{LU|6vsz^5p) z(J&)yt!hl~aJNUW;AElH*opA zt!@*Dn72Qb_?){nPsJ2mcTomgr6hD2Fg_x>=Xa`Qc$`P95V^b~N8fs2b#wHIP*_QF zcJWG8p74(ED!Ev&s7K}tp8Wru@ud=R#`???-4@RK(q|h0IcHMD9 zL>xFrXjRr}yU6Nx#3R}vq)nlHc_Jl_z3WP!n2t3xG))Eio^QP(5WXjSbgD03a+JK zm|TSf>23*#_jVoG^X_SAz1OVe!t)9Aa?RVAj!7p0N#fsak=yQ)x$+pDe#nxX@SW6m z)30W+`8>>#FrGQ>F~g(Sv7bCeWQxM0tLy*=g_YIIfVE6DrX(Gd=e z^CbUUxx*WkC>B!u3|h$|>uU-oC9uB%3f6iEG#Hh|VXSHv4LlIkvs;xrG1}<;%Dgxy z4lmT_-TX6R9hkW6|H=E(E7#=0(wfRFVAw+FPpNfgajtr8uK8Q5c}ViC72^jBHi_k2we@V^(oVv@b&I-b`pYIZ^EJ^ ztpELzlM4LTA;*aOkWa|f5&`a2^y8KHx<|#Hq}%jNEQxpVGOgYGiomQCBmRfc>O!-kMn;yh4#MwzmrAuEdFEL{+BVr+Q!A`KP0EM z^XnNZoN&eeNgVNt4Yf8Qu!oq1v{=1_7ln6fBE#pu0m7%+cM=ozBZq!~fCQqXlf`kh zCIUh~zm;R63kPERdj(QM3vPWhTHpC2fDrJkvXFv)C4KZVo2j&}NqBm5)O6CmxV9La z)Z5^C>LvOIJd!rt;+b*c<8(Y{o{?!tYAu9YFFN+ixLbqh+%KXm^LQcqoAPU7)-OFxDNF4sg!?DXnLyq=3Par?jkq;zZ zyFBxNmDY+^*CE36a5AhA$jyzE>qCi1*YP5N3c$(@+#MyP*HxySW)waeZ>>Y&LGHHktd=orTB3Sxa zR%n#Dl!nMG%>Z_%X1iQ~0%C$}99}ySycadRv$am9vwi;<_LSIL>NwMIH7-xNEFfSo zqd^31`S&CL%LY=gyYqbsVMdH8^E)3y$sa@TxMbu!+bYDExCWjIgQBETf9s%$I)hNC z4O&oA`*&3(Q$0bHy%;qiNHz17CK;2!0DGTk!`1u+(#L&K^%JWOeSVT==RmeLi~ST0 zCW>7F;0Ql%u3hrRPVw46(K;tldoU$3p#gZRymq;=RrQUiw9QApl@8~#H8ey0omC}5&hZGPn|Bz*g2N_%5AHy0;26Bn+?A!brCT_HmLisx zjvYnzA>TODOWVrfFfqtqBzU`vT?g zfYowkiYUK%od#JuN}5V?6;IkFH1nTbG*5;>J>LhqCcZPrHAJ;8<$UQdxO=)ml>bG?U$hVG zUp(g6UC`>O#zYGQxMoD5kr%_DL{m1#`5WLi05T(u zyN{*ev5J^_FjyRbDB$Sl<*s%f-{dMPnEIQFCmYsYq@X zfB`8(x8X+LQHEGL&P)W7La4R3hZW4i3JsF$9x*S>biX23*+A7cYHC9nMd%9wYE{ca zkHPLpoCsHwwm=e#ES~{*)GM9YR7E+xoTcQ$-?Ftmqff7u@=hTvM0+)IgA1p%6Yr&fr2<-v z3vED+>k!j`ptf#KB;QGe*jT<=*=2zW{5N5Kbvk{e?&;H;eS!w8a2&#akd4C!8ps{7 zljN45t}i1?2=&7U4Un0|U(hgi@-IIG8Xj8u;T?4==eZ0P1;P(%6RySvaAXvicd zAHC&ETGG1H`E`<1`6CO{et!kob$Z&TEP>4y^tL;1wT7|wVaa<@A8GeLkz%=r*8xhw z2nGVUTZDGqa`#We-#!+=_Q$rlR8&^D!R&Y=@Y<<8zg2r#LTb3~mnQQggW|*QkNQY) zRUq^lXhJ-ppx7Vdy6F1z=1}a*}X!9LBr^0reoZvuT`!#x}?E>Tw`7hocVQqC8z=E zLofAvJu$fQ(mpe@G2kK2Lc_Y}ooF)&u1hba;57BrqwD6kI>>mX<)n!U+;1x#eGrU<$|yU3 z{i_<@pnyr&9bckiV_!Cop-J0nKIc@WpfxL6yI?UeQ<%ck`gv#o4Q$LIIkib`d1+IkM5saGTcYZ4 z<(d29{ibme*BbWZRBU%kGW`0A$*kOZ|UP{W}-H1pG#fS z!Lqx?r`AHP!uN47yli+H#>mxQY40OtFKvon`i_qAcUDY-JXpv1A!;ln@i{wPG zfn2Ug%T0`vlbc?%@pT-X{l8@J%aGTlL0Gj#S{OAPQ8$|Njn0c zCsjW9)0)__O#v{4e~t6E1pNiKJM&*yj7_y2|N2(%l1+N{9a3Z|X!U#M?D=k_{3Eg> zUauXU%eV8Rvm;y4Yf8_^1b_nEU@c4BhjTXJuD_G08(Qxrv{5@By16 z%+3qGt?WoM^g3)Wa9rtt(V}oyu8mJQ?y98)O@%|U*D3LKlfFC~c{H|1sgW%ldG-cK z2ai+g_4lSjtOZ51lP;7tWJ~tPmbk%eZfAQna!KEa+Y<5YpZpn^$I$d09Wzu^=LVmx z0hF6-EbJMJUpeacLtmz=u3(dmR-7DtuKaQNu$e8@DC-xULTBYo~ z`B~~PbgfGJ14*PJ02^KmmWY;|YskwdxDnAwI$x3~9h&Vrd%WUIQC5Fl1X&;+#p)Da z4YMSdY?VhR4W%EES#lB!Dnc%r3McV!q@~Z(n~u#q46kEQ5Um!fBR%?lf0a68vH~G- zMF4J+cc~sg19gQgT%cWmmVEcGQ?Fa8P=Zw7CyR>(*Y%oQK=Dou!D1WkG|81P_LY|$ z^R56##^JVJYumgbt6yVsVFjxwiCoQwfON#rS^v!FGhqGZW zB|*3&XBHYh1#Y%2+6ohfza2Apw7nDsXVK_*@p(x>`prrAnd|@ocdqmbrh4DI=;KWhf*+xvzoxw%gnNxX)nx946qbsN*!X%JBMW zC(CR$5MJRnQRN^F+%J)h`TO4DoI54?5N)O3SY)pPAyd2J-7lhPfx8Yg8%)sk{0cG% zK)pwP=@wIrp3Vm^803o~!qHKp2_ndVTqg^tLU^(=yr)ZH@1Z{=D*XWY%#6+Iga!#e zoCsgP^4O$P=0rjTO2RQhh`Dwh3N9T%7fknuW2WZ!y!7pQBu#S0v|+&0bgfYQ z4AM`sxYi*6nm{Y*KdPI)*lO^p)wt19n;Rzk6s)a_udHsJ{oW7sL-G&@Sq+uHyT30` z@{$jMt4q?#ZEcpEy7dYcoH|4FVN0}f3HB9^d4P>YDUVuh1)R*OcuP*cj8u$?kHQ&b z)a)6;MO*2&HgBYqoQfhERM!MWt_Cm_Dz#Z&hm(wTCA~Id0w~uvplTWJe+KogGn@Ng zf?7-y_afpgiGn?X_89hAm?d5IkN$gwcUp8)A_hC6mhsF2Mg9;uq ziQtj_F0I~(a%D&hO($*jzfC6%YR@c)iZfzXayI%RsPb|UsZW`56zQh6p!MiD-a8Wd zFs4M%)1KLh0dfic=V`>y`Q4y`=za-&Ww=S!_m>);Nj}tHCIkUok$UjxDH;F7a@gQjqzqOqE$Mu<6UpidfuuP95;(n{L8Pe^ z8uQ*VKk05l7O&?K*+MN^?<5-jNP3W=Fi|m8zU0H**WxvlxOf=Yde9~KWhbx^%k{*` z924Es{-q*_6&LF-AB`(h;3q6IGIrtvc2Msp%APBm>u_%35M8RQw>-rEyp718w21@* z{Dy1k0o=qi7IF?>P5Pi7S~P#^SkuiP_OB9~xbGlZTpYfPui%QoTv*&{?#8fcS!&P{ ziPHgz|2V&^gQ-c&$#PLWnbT7G$yi`gR_j9B-ww|Dd+wfd`cuAY;BA1;{TR!1>*<>H zpPmf&4H4a{xzM&l=j11|@&N@;eO8#__cXuyW@zF$Yc@ouwZstrE`K1E9odre_>Q5> zZvQ+QQo?j11uvuw8L#sxfzc|!-IM!ffcr{$j`|`oCQT!A>3S^CDNk+;!`eTyrKx9N zc(I|esX{pIGcnS;r-hI_be^1qjnN8xRxeO_5Z*@e-=7&CRjPJUBFL+e{EwDwJOgf0hTCI{kn8NtB z|N0GXrb)1l4K<#(qBFB@#HYHp2FAA(e%V4_e$O!dULIeZ%B!l`$=PlFok}`_QysNK zqA)%o%W5|buZZJwn(7k%Z-K|rQT^OXw|6Suw2{`&+;-uvA=cuuqkV@hsE}$SgR|rs zZ-=wKX~QD{(jQf>=j~qj1VOk0#T~FphSMHv%C{rlgM@ea9tj>MrEV2-?JfAN@;jS6 z*^GX-o^hO>QT5k*Vq#+XVwyQn@9}(B9PKI_v!h-=I%8jXMis)9SsO^ow8#08wEWq7 zXJ&W`$^s(|^1>EMje)VbAN=9`14v5_ z27}Ga%xr9Iyngj+d1d+h{M_8!+|JI<)zuXn3(LU3V1IxA;o*UUgCjRL7YGFQ_xDRk zNQ{k*#mC2&l$0DD9d&hesi~=vlaq&pgtWJ}pPZbeq@-M4Uh?zv4-O7iS6BP_`RQou zKp+s<^A!yC2L^knn>x6I!49VDuODH5cZG6BUD+P1>t&8J46XB>i(bhdtV$4WE|f8PdU3Som{50l*oiF? z+!*KZbNS+AvCBWN-=kr7u`&Kfh}CqNPw{7i{HEdEp*&Fqqk2F2a$5lkuXZ|Wc}q<} zD@F`d0V5x6+3zI-my16XO}^yoBX2JBX}QD-vk~?lU2=-46X2N#sL|-EPKwkrck=9jNodyCX8s`BPJ2l!wQ6G$wP9&^m)=<>QrcWdc{g;Q2st&4eBLza`nJ4cSnYm-MxK%J>86q!CY-!a$kyucMCvqE$DZW>HW9dxn z-y`$-8R?|vbImvz#zZsM#y$-n8#R>`7B)H`8!B!1_h7U4b14MNHifZ`!nS<8g7sC5 zXn2l4r{(ptev*=Aj#e;6GyeZtG~}=zlZ3>wW?F6%3@dTX)f9QnV(W`B4Xs^VR#UJk zH^~@auZ-vV<>0h7OW5|9<7J1y?!S6aaLSDM^8M3?fqtCFj9NwmXPp02>@o8e$Lu?M zsbY9cr#>MeJ3u&;jLhb?82)>2n)B$QXbV)j#l3z$L?va+N<@7od0L*_@#jE5W>4nv zdc(4V>SAWu?g;!F1eeV^$A7D+CXI(y&A3^@KZD$nXJZgJ^Ur= zpA_3_pfO~dudI=_Sg+IZ`RLLqq^E63( z3i>r)c>nj^wsR=;@#xH4%L8}+R7rf3RKmPl0Z1-jk z?aIK9C8)q5C(OYd%DebAr-rtO59}{$s|PbUnHca%s;67T#Oj0!?~giYJ)}rLFA)Tv z0@FmHj&x=ahgiWqy-G&T|0Wo!13oVJH4r`)VRG*aw!Ube8(a29G z(-3s8XPYS%i5fwYipR9CdfMuX^hJZKr-%Fx1E*754HhR>+~>^StdX~Q1jVQ-zoa`| zR3F9d?_IIJUPud5DVT-83u0=CSUlOGDUcPMIC((PS(Wd#9wtyiso zGK?ni(80oAFJj+y;C1#KvDk+SIy=qLBirkfqd9A&cp#6dc4}C%Wltsy zk6GvzED3k)Zz!DHOg@|Lz_hJ($IKi2Dl_5AieXhNw_lk_#o4jvf5(sFm9w`N9^pnc zx;N%nf~n3$FwF?N>rpFNiW-kV^r4u*Ah(mgcjErj4>!VYI!Ue-0mO8=mgi@PNLGhK z(`{jq9i_&`>+!4U&=_kV_$!LBBqqI|KYCvqZhF_8Zj!rRHM6@2p6 zdCYmgj3SeF#e8-R5B!WFvbUcqRklf?CDEZzoH9w0&G|QnalF-D(nV6PzNbj6nnj&E zKgfX*Eg2I&%9Ynj$^d{$VA%3=oi=qT-@~UHJvL3Zcf)OA4fVdLEO*w0gXMdttNK&? zo66@j>e~kBBI-eB?{`O+jmC-}2Q-x5V~>tl8!QkX64FQ#t$AuB2Ve(l%>DKS-Szxv z)#)JlLOs#kx+kfTww41K$3@8K57-No{iap$ZTwBOi|3lBX*J^SZ7N`acrKL9v8;NS z-aoh&Bv;t}``vm@Rd#i)J27!aCZJ&O^ZXO-+duCv;W6mpQ8(U_vjH8zRJA^nI%*n-Eh%SlkS5+u{>>6ZF16JIxTF9IA5{8Fdbu-W@qQ}qM?-dtkxk?5hN@tw7e z=X|uNG$x#bLn>HVvVi5q#PA01Qi+>=dsd#xliaw>@bc2KVBzpHw^&&=f=pi^92Kv(4SoQ_n7UjH!!46SB! zmpowk`)A_9kZS!|meQSyq`}K3jwo(QTygf(9ECM+7uyDgFAem--(-^Ld31qsg{qx_ z=ZG2naY&jReIdg`SHO#JNiY2~i0W{2;9}RCj0~IdQs6V06|WtI)kWE(pBg$6F9A)I zQU+cwVM5Z;(`j6asaGf<+)QZpQZU&m^j@s`@NxTZD&+T6yAo7}&owr|wEKcoQG*Z zVhpcADkS;~I~#PB=EuMG6unS?#IsOoZg7H^1#g*P#5yKAz*V({(T}lE?M*FM<(7Ub z5qe*GV$F-uHoW16YJjn;)1gLP2C9edY_PenV^Z^x+OnlVQ7czLS2+i*j$+-z9deuN zO9?c%3tjGB;9a7_?d}+h*Z{hvt7oiN=OykCx))xdrPpR}?Nno{B6W9wl^S8`Y4~cV zEl>+*bjis>PaRl`#U5l}xlV3VYy>a5SI8P=QELyPZX}hj7OcH;q08jM?1&giCYB)p zwxwdQGq9tTZ!vi3#in`YyYGQua1FXBa|Y*4h5*fcT~)|Gvo`9f+VH*=ue#TL_x>^i zS4PIz*Emq#%Fi>sJdR+tZ2{a779*>O4$82a*yg)rQS{=vxS7SR~FOFr;)Ou1v2q8Mu zR=ne3vax)aWwbeyK*NY`T*NQ&9(G?u5xQSNQV8E217@cNz#o0OaeD&A6I5fG_^<(f zw|`}E+5n$v;(Cm-6-&bkxL#IUv}&0#7O(N$5aQoF&5xC0lH5R5*U|g9F~D#W1|^NAcyGAUw`yYTHN5F;TXbY7-0>0=Ub!gI zCCY=*_jH)_&s*OFFAG(uK{H@d{OD;K7369Bn?hdH# zUEf>D5Kad;xZq9y51sfAS4Cv9!_fN$@&dF`<6| zX!Rek@n1Z~(9ecLCaX$(!0-3bP(d>Ht^~k?(4m8Qj8qJpr3y3|$P`#Q?`)jRI zZ+ud5R`zxL*eh^+V%M?DKu4(0^SH-o*AiT2vib753Uf3LTBj>{A%+)5> zolQ`hdCd0Ix9&Rx#2s*b`pP_@pO%Takr>p)b4J2syu5c4U2iKfcVYc08`P-MR(boe$RL z7rU(;&J@RX;yinN@FlY3O%{@BV*G8*qrz52G!}<~_1d#$g6xgNJ+_kL`if#OQ#J@# zpj9bEcAyVl4^{=BlEyr1h+RY8 zVI=}byA|YOsuLcek%%sL5XKZ{DJ#9qmfLK+lCQ`f7)W7;Nf8lR{rRI^(DJkH7pzSQn77u z(H+L2soxNZI*Q5en5 zW|9Ojfvcr4>;o*j2{_`M-h7x7WRxY;_{C=T*X2GMDz6N!p)qj_%eZpKeS*;SLRJSh zxtYMz_o`t_g&~oFM-EccWzx#x+QJX-T&>Aizz25vQZ7I>oc!xcVuma`UQ= z(K{MtN15d7Ks!fm)c z0*}qA;q4E(w!565qpR0yiC~wj6$eH!FH`<5sN$;-$4s@Nir7Agzd>7rfFb3A!){eU zN~2#bwLFzagKYK9UHZ=q`OFQ^ zOM<>}A3kxX*~gH6?YE4Ca_%E83wmv%hDsOHch)BY?R)##bg8}Y~J|$j&JrByheSai$ zO1^F@Jsg_=e@#vN*T4z=R`{xV|L`BA6R&`?xwun%+yr7E6anRpmoM+tntv9E!+Z11}ovcyH#ZgK9Q52 z$HZ7*3e@3e>=Asy3w8>&$MwDffSZxORo5JGR@nr_$26(~zhYE4}1SS2# zi|NZrTK>^?{La+dVti5YvsU=qjd0QCk zcdm%I#?^3Vfe)4)rkXB@)64Bce@|3cQW&@|?zklBXY`YeDju3T8v_c8e9~fp6-r7` zBM~F)vxI-+zV4`LPW~C+*@CUw#(H2^shZ=Yw{zw`Ede?=(NAC!%MsZ<>KdOFN36l{ zh}|V-G}m#&(#OKAw!@3HANeVvfHs2y4DYKoa-a06Zzn?~#HEUv&`qkrEotTkyRLOs zl@tBk)dj%i^-)j!Wq-sldtf;|Gb$67S}G)9Hre$cPdYCW^`+=`;n|Dn+slijMs@W> z)RtRon2pDU7D&YCc+4<+NnB@P55MUAL_4zS$gv3WSg;mW^qkY);m7&VL7X0#dn@6?QcG0+nGri8UpTOK|Du7PgfyWVe8KBrN zeDcik-L2k?2`}w^?qbKe@UfJ*%ir@Ft&;_OjGH6cFKN@CWa4Ela7XNXBpJ+>gb$xN zlI)K^MqaCDv`O5@cT9l`qcYPCEPs#^@bt$F+Og?H4V&#ge2)xdnl~v)AM@>^GK#F*sYSAA#o8XPP^haUmKSqMUO@U^>2i z9L9}uLJKZN-;Y?8K<|V*8aOm`;{5_gl1666*nQkpga0APM1qW6III-=WLgL4oGCKw5!gT&)uA09UivQ!n;LH`A z?ivG*!m*=D3S4Yhim3gMC7VNo$NINd=O0;i{|_%hl66h~v1-TLDmB9YflA~5XtizD zxC?mevHvWgy#IhFmcRAOsx-;qIa7hBz%**2uyWJNE6aX$JuNGW>VmR)l@A2ll6F~z zaf8g?&@5M*T3Noe#usdN*0wrtlfXhwIvpWV$h3|(vHO>Os`2|`k51nEGbf#Ak^>~7 zq~;`jB!e$2i_YCf*(7~wnmk;wuY`Ls&8Qd#WhrEE`Xk0LZaGo%ZrKh{P(RZ|`j^w_ zBq5X?R+gdud(0%Q{N=76ruRhIgVQe&dl5j0s^Re$8@$VFEAV>j-7MT`P4s>0FXn7% zO&O(blEJJvD1Uc;LX_Wk6899EV_Ia2y`CmuHG0W6&Ki+}*4Yt-tJ74Gg_C(Ulzwd(gcaY0FkUdKvI@YJ7 zS_RY$gAOM10zwT9t`OI&tu!eYw~u_~?q_u@0)#Cb-fIVIFW~+(HuGddD(pJj?H5Sr(nmarSI-2`vGL3i7pFXJf#tDa(zQ5^UvPKl*hLfL zLnZ3F58O68YaaYbI5N(+bmrzl*!dV!UU?W^h z*J*N^@r>A7e)v=gCa*r|o@?2F^7VZr98SWCWdfMTnd7NIr8mgU4Jy2MEH25CtHHL% zb1XWkxG)+XpozvMuFj3MH@@h-q195-8M^m*4JBCkx2p~y?b0Kc^55WB9|FiGWzXc+S%x?(ym*x%DIf&{X9DdzV%;!BgigxGgj?=Ag&JPNbtm z<4*4kRxEC^hyA*d`E79dO#H`xRm9+up7%q0MTImc!~%c-A;$1ZZV3!t_aiz)vMUZk zPo;Za;9+90v!_;RB#9ywb}pX+&Qhl9z|a%MAmjvCp+XSM6-L z?(@`L%F|c)`Ik%UI{_o3%R9Ve)T}YVbhG70;iBSL`}9pizgR_G^06wr9NJ_z)yDa# z9%xI`a5KgX{ke`#QZsT8Y0!pks3dfp45c?~dOG16futh`mW1ueC?J^Z{inz@l6(O>uyBqp+@;c%L4 z`(#C@I#DUZL?02=HTw|&Xyc5%JWK2ENid~Tg3qMC})8E6M@6No_TLo%TU8k zoCx0+ciwV)u-xHlkb~r0$L`yb@IT@RB+ zu@8zO~c5Uea;&HMH7(iD%r3 z!$w6CL-D9vBz=}q$?a&h^R#!*Qc_e6F!&~p)Gl1db9z0}vrE1o$QADwurqZ)Zz|1% zZ!RQG^~lB)f9P<}DWS?KsBI|!1YZa;OhQYm1#^itCi@mva~F44FTK~$qM9_HtSlJs zhO9&~eA2%j;%7}giS=n10FxFz4l3kI`Lk);pY1e^p zA1LKY;c9;N2ts`VgAaO2)0P3Kj-U4KfKA`^Y}-V6$J}z;f7eezZ#++p>uf!Fw|Hh} z??Tv2W6@5AYNAvZjV`a}e!~W!PZ+||zw;VeZp5e z4T!CobpFl6#MkgxqK1j=1v-luqBFmL{Nr67`_-1z{U(9iZ^7_yM;0>)!{G6};nOnI z;qB#sy8kWHTHr&FNz5FSPS2axh4l%Jxkq)pf*B=Nt4ul$AT?&!+Xr%t9>P0b&#Z371`?>M4+ksLgeiHLNbCq zC`w4X_4Wm4v6 z9Dw(M$brS+6EoO8MVy9_*O3Q)OwZmt$AU5FGY!&^CX>ZW=M!1Fc&z)9OB2UhqjhO_ z&kc*(?^6hs3F{a0)6SFs7mJ{x$ z7Z@1xfz68_GrNQ|n7*0U3#Sj_hwu>JJj)%^a%s{IpY?bWN76HlBre|Sgg;;7?5HKM z2{ZYB)Y3_y$pPFv@8XySOT4<*)bayxE8?p4m}eiyz4#>uX7|TmE&M|jf+c@b03oaT z|Bh`wp1uuM#p5ZSfp0u9rnRauEp)&a7))*e`;|G#<51c!Y~iP*f`6!k+@0u~pQjnd zKV;&`^XeVW|N9HCXbd3fTg3J{&25O{#`=B7B{KV^sFWe4&E69=pAhNY)7L2)Ja#?9 z_ftLP7G+y>1m!fsilU#0Ut<~`ZlSx__G~eK{xD2FTm&vS>$Q*DqP;5DiCeu~4V2O! z4Qwe@xaUZH8Xh(qzJguk;&MqqhlhuGFIv#)sZdGbg)cn@UXHoPmgkh<89P>5|1M48 zx14Wz)ZmZuCFt}7Ubdvj1_L4uZQ#Svm$BA2R%p_CND(n92XxwTb>0O*#uV_@AI54vzhlqyTR-Sfl!% zWk^419drD@2o19>ELfoLTj=}PzKK#Ng0?}SaPLGSY^@yUA~_RZ8D@kvO@QD8xp7r~ zJxx0Ni2$8#nZ$!E%8-98aUt4sk{pTTl{*5G4C(W_%bt!V9_`;ElZM_9lC$u#M5>I7 zN@B$*_TcC0kSJs{$I%E12pJ>F7vYZW$fMb6sGNXQP1M()rrnetWfF^l?w`|-Z2}b` zWg{Q0KO1KASmu~_(?T`CC*u~?*h)kq{>Mbyo%*(R4y1QI~H?x-acpZd7>S#uh{SRryiXd4uOEtVq(6>T0-k>0t+ZQO{d>R zK-LmX8XHXl9y+Dlq%Mk(E-S%KXUU&c5yWRX#%NZjO&iASM|;IOO@3`%p8_xc^i_pt zJ=?6=o*6A{U>K$jZxH1`p;AhaPxS@*(YH=&I#QT0cS25>-jnb4%}aGUg_=p?UVZBP zRBxF7{=n@{17Vu~iuTw}aE-FG53uwm`GeJKJ`wrx;^>95COJz#Usq0k@Z8DO&HU@> zjlZK>cW~}iN&pjn$yz?wXrf7|RWXF6I8NxH!l(=+L(!>+jm4aPiEttDiXjhPATS(z$)*uL2K7FuKl2(nR( zOceqQ84Nz{3amvwXM&5ziw4HX8uw1nw_iT7WOVgDgZt}@2lt#xvsWLL{e);pFYG1` zIRH_Pr5p2L`d`H8^#u{VzME3aOogrHsg$1U4&;8aCBbsr!^!~D9-ndigZ3Mfi2Eb z8fFn=?cT>Jp2AK$|EN!7mRo6iDcTXsy|m2z39~Puxnl#);kSj=`tw)qaC@alsSd)UkJhqV zqb?&{BpB85ofnMDNi@LBf$6E#GF&cbibdJw$j|k zBtLmK@&L;4a!TgXnwBVDftd6g({xoiVg1@ep^bzbd)vM6v7GEDxVUlZe;I@_1<$fWF0Fv)sE}Tx^vi6?efld`p9)d~ zvHRdvW9*^#f+foWpL_yY2{SWElqBIh(z2{PN1Tc0e7t?k0^jF9Je};npk+=&6p%Q2 zM>aj--g@#7^BOaa(AwVEPv_Ybx&5oDGY5fg(r5J@MW}E8`ov(Lz3Ug7%8X1f@ejAzZ8$*{SyCUu{SJ18v1$4|89_SihN(c45nKEoF=TGE zXg?3U#`ZRPt_Cl3{TWPmMqGG2GWC%S!1G%+EI_)h>8#7nu?(T*aU9dAruq~vILTXZ zOF(vA)2lcFy%DVm$u^j0c4aCQpznOW?3D)z@^ktn*3}k?yZe5}ETQ&~`8bYRvk<#0Ly4@$gQL0BA8_R2o=3udBtDYn7{v<6QchOdv@9GNJV!7g7g|< zPl`D_H=|NJPJFl4p6uQs`>!kLpIU|LpUx+02fg8Pi0b;wl)k^r=`F7Qc@Zt!=6vhKjc%2y2V_gY1rFyc&Z^zw&F4 z|BRwkHF9#ZSVE{VRyei@8hlF2PC^6VuPEGf>QW6j_;figLM_?%8o?5>y~pS*dXyoe z0?sfZz9&3M1BFmW9>RS!yrB_246zo60fOtNA|p=-t-q#Wlq`D?LwTmtudw~H50zKu zr)Thv5#DpEs;LqTdAox@whMlOPEfAUpvsw&1Ome+E4j(~S$G_n#ik2FgC7!x{{|i<8FlEje2e``w7K z@~EtMS==TrpcWg}D#k_+C5K;=K4!*_tharK(}raZA?g1vqxo{6wAS}nqX8nJucJ6C!kv_EluAu*8BaiVn|4ZIuXZe=`PxHsx9nM$k4|haI#^=C| z8o$FOVmi2A^mM42*D1+sQySE~KSii-J|~yFr=PcN4^_C_^ZWd$g3U{ z6&40(GX+}O{^8Hzs{Xux94Oy^IeZr8H~;Rz>zUw8sP2lxSk*rgl({==h8?K@$YLxPd|=6c3GXky@YT?5wj>~V=jU%AxvV{FXS?Cy3{(t%lxEudsOYrd0ZVC97ei0QE8k*#V~jl zTfOD+h?l6Wb*}gN{^jUTvHOYo4d2|LnKkeATP{n;D~$M=FZU{m7!4q#5erU>?9Xdg zW=-CY#K-A7Dy2e|t9XE3(iFihPo>0|dRG}nd&K2R{Eo4`F%KcGcTw#JS3qd@cSeLZ zS^VSeFYo1DR0CAYea}DDKZH>YXJ$Z$O}z17Fb9JxhIclJ50%QC_Gx|O^B`@54D?G8 zx-rDymWWDDOWxeH$pm0fuH*i8#^^wvoX7rl)#d9*o!Dqv%@S}%haQT~4@%z|b(L`o z+%^WK!KLQ>#NuB6s=ejdmW%0)?`p2E_# zJL3CpP6Wc!LCoYT9>`Y=EQXS+m2Gq2J3Kb5dXW4R+FR6g268QxMCb}N?}-4tv=>xO zm_i7|I}VyJq6J6s|MJnl(2sO?hJ?Eyek0|l2R6v1j|my<5j7^lv=rUDqB%!i5m151 zjDQWrLg0?+OhXoM-E@Wj29^m%pQVtE%sO7qv<5Km2Tm8*N|scp20Ge_kF~M!% zV$3E*)P20{a_8WR5OQn6;eT)#Tg<|no_%G}aVlIv5`jz(H$tIy@r1Zy#0?;bQI;e8Ft!`SUEMFj2WgF$ zN(vO#9-q*Tfhr|H^OLK?bh7Lb4~xGgDSu=SdOvAcq%%K4c~Or9?j zS^(%eox!eoC~wza9@Y4Hbvv|*_^F?cHIkroo(vynbgE++>Bq1*EGr`orPr@Uh^im- zM2SeqQ5YBP>l3YHY-j=ZRWb!OTSbSy`V5K9jEZY3 z=pb!apnI1@w3qWzW*S8i5CljeVFy5(e)#H zqeODUhP_!&37_wDYiKHP+MO7c+pCoPq=oJxB}CtAb4dknBS_H(?x#Is1_dQ3;;7U0 z^>H(+xj!e!JtkaudPL_eC;L#)Sz#t!-2Z-nCy*ID6MwO}_(AkFrJ&8Li!UCVk#0;X z8012)gzlv*FCIQw$WL0vvE<>1x;@wr9B%!AkXZ5xO|fGAWW=?E94vhNYsW1IoRrXm z6+M~iI`u8!?pKV=@7-PdDuYjU#-yIE(M zau9OFPM+FG81wp{^6`S=NtBp-@QPawHpA!Ge_({#iPX^D1r~ ze4%njj6HIDIJlbT`+AEcm)d(1_ZZ?UPN%8*eET*MHN2#zvqYW(GryEu$M!=pPt@Pi zk&Hx#xD>(EyD)!+MIx`iPBY;iA~y_Ou6pdo({b9p+138bvzK?uj>?yBy(A|h(sPn| z`t>rm7R_AIMvrMIb90id>4HSD!E^34>g^Mq`w#Ud=aW>i;N3Zhq0VeIiG0sn0D>Wl z?S+R%5FVo_$@Pi}2e^k;WEDYp{R=S7^DaMT4B>B!%a26iehNMDFf2dtrkJR2%3dEn z_#_lR^ldSXOSe8czh2!vf4IL{Cy|B6!(W+rq!Z01CCa8>W+blxHxrB~G$h{&B+r%7 z$`E?TkR9^**dRf)Jmsj#C4sda)asNA5_4ONS4+i0{3;ucH?~~ zcsZVYwbQvw^>=AVn9CFEl-mFRf;vHa61d9DbjgItGTPHc57tfI6aN4~ek1|0nbLv#b`k0PADG z14@R!mp6r#cj(e!wr=wV^{g+&S&sk2eUQ~e%RUyu|CG?QO}z0v*_cRN9=ds@n>LrI z0w!E~GkAq(q9-vY5AFY{gqIkNC?ZL4AC1k7oPHo4@~H8^6zPCwJcx*hlA8zn@vw`J zG;kRaF?8DhH`ox@&&MtCSdoprXoRr`kAp(AIIjXEGI@uFh`7 z)M3VzmO!~aS}yM>a`rwNEojUv>t9CH^`G zdGKvZS(9a)e}l>tZ7{YmIm1%O0=M8CgR15#mASY6Kczm1#q-i_#75!~GeDZ4)t1S) z5Czw}Z%@+fGpf!!NIgLC20;;BKp))nzU~1aQFt)y*0&B8hYUr7QLyOxfr*sSE z=?pj8bYVGD@blXT9OAOd41`sB{1Fb>-wumk zZbd=1i|Et=1?DkCymy3XNOi7ff2Mbe(5}$Bj#vC+#E%B|EY$tcUZ2d8&n4wg4!zne zJWzsc0ltaY*KLv(F1etOWB#Rl(9)%`2kOS}#jS9BYkjzw(n&Af>kPE*UURz zv7Q?k*o=gajYt%wP0PXrRexu2tMI${4;SNEYfLzP!B0s-8>m*RCBA!0uAqs6nq^cJ zS)>$Pk9QNV{tSK*^qdb*IyNr$R35aX#xxreM5bJ+TM16qb;%FLOdpq{4>1g7Bm}|jELI4{V_03U9cw|$GZ_)6_3;y_+!h>peUeCc?{HRzkD3UZIQeOECVXDB;MTPu){lLvh$#3aSF=n^V5r{7tZ zP5a)6TUUH)lCe1hkfmX$DpYx%%lJuKigyJDmytw9ImCjENx+fc$6au~!e&~Rwm$m! zwI;=xx4Cc#sUq9Rc*Tt00o(E2A)@XDJCjEThq>NyD)(34<8)G9U9U8fZ|*eaLmjx7 z3U@f2Fzs`&ar_s2hKTB?nTc-ZYc_`3^GlmW4(1k*(9*y<&SoyWMB5Wkn!R1%$2aBV zzqct9h4#(l_?6mR97@-(B7?^%R?>AUb}Bx{vaR$%72E!dvIN&)`Vq8xsyA;}uAxZM zYIF4rXWt(_jmRXGit`0Y4+80b>=V>^zCv(`)(r$Xg%X0BvdCz>BJ^&$w2q>oAm$zie4+T*JYe3JL~H*9Flsn)84`#%XR62xelnWRSu-!U43CO$&mQpLDyIR|*B0y$c3Ac$KN$ zAgpWdIt#^LpT-i4g(Ordh3a=gmMfnv_w69U^$Zf=zbM{qOVlbDk}NNt#NpuAMC3I| zEe(UvFGo?#W)pRRX8UIya zgStBiC+>uW1tnVb_LgHZp=zCAiAq)ecAlg&{Z`TA()(*N zKa_F%r2`UvkrXrI|I7Ecg{oJ*R0e8*294^?;n6@SSm{*yL!FTzRX=~U%PAd!8}Vg4 zS%P0el@Gtm#S^QuGvUYS1Omf1-pt+z*dfw~$jlw-d={W3P0ZSTf`QT_9Kg_xu#Ea{ z{_Drv(=n*9<*cdYUT)=qZI7Dnd{%oI?i;$NBjotu5{pvBj#u>Fqo0NiWySek472*t z+PwXfaE0%0S*0qjn5da_C8UQ$GDBvFgo|-beykN-jM~&kWXg|{=*r~2X}C~I_{tI~ z5*PVVAh6kmxBMLKwLjO8aA=Huz|6RSBw)|NZdbo&)ugqcFB#k-q`(UadaLEiDiPRN-AiGbuF=sm`{v#dOO z!MB0)&@AEf9Hpr&lr|MTCo&c3!rfNHggFh$-ktPaxBCOLr@@rWavyHH^A7roZ-IrM zL*uQz$v+iC*qt*wf1r5om(-q8gKxPl*Gil^5h3$g6pcS`WR^NdOvZnoX|2Rt(IPT+ z8@Z=EROSzv?YjA97{3Dvo4G*pxq${>XG?w&RYgQ6>wj=bGP<%r_;_a87qm7a(u&Ya zM5zQeu^<2*lGaHuo;e4U1wCGSx47}bT0KuBeh5j&5#(hFgQ3dg9d#WuJNM+$>Fnva zY7#Ma;0?VS>30R|Df+(~T-H92_$Ji4rW1+`u@1gfAJ`mqR6fBCJu#9L?oAgTL$j@s=TqKeI!wy~ap)i`_^tIYE#!omq-rRf5b3{Nsdgx~{aJ>TqPb=c zakwvHLj=-z&!3FE3fE9R+`_-YE!Q_%3aS~5>=n!%*C(GS&;|8wqiCSzbac&tr$NQ*X%G~_QLtF{YsUt-n*L{=G{02dTjEAIr zwkJd!Vn?eAH9s%#q|{JO201jPS5(GK?R3VjZhlnq;00uqT8YV+BRO&Ax~F>ApU~QkjwGjOaH=JY=+Nx{BkV1s+IqgQ zQM^cTin|2b0>xd6I|Yh6!QCB-y9NpF*5dAN!QI`R;tntU{ol3jy`S!U$(fu11X+*588&ML{sN+}9>VSZSF{HYiNX2!SNce% zRMO)$3=Szb!6n3cA?nJE@~_lu-^}tHc-nNSU|k_R3{Kw1%}lOlsZFF!Erul(#w#af zT%^7gCaKm(o{_lBu?)%HojH`FK8{v1GuqKKra<+JE0cWi{Z0^wqN*J-*kUs`>a}%+ z#VX_f=p^`IJ$)pJJbeV_$=;1W0JIs~2l*8`itQJ+ne(+^OYO7x&s1yqq`nW~b^|SF z40{NxP$y-&oduh-CiGSdeOti4c4z4y1*?;{q`I8)QmEmd$bfV?`#EA(ch`5oXpL>RHeV7){mm%@P)2T zQb;9|cOPnIkoYJB_3T*f{eQb>{iQa_T4AoSJ-twJ(e~`*y&=Ck8+aZ$5!!uJBYrHK zE_*ry2-6}x`4nIK-T#h!D-A?at!29Wht>7AYA6uba)l6GFa33JpG~vHETJ7^8i!N za&y6R$+3aRvqgXORf~4|X6vui+WX!k9mJ8|CD&jzhTYh4oRlv3?qP7|cz?8}HFWf; zB`txrY@iOyXFj4LrqH?A*LU6TNYI?Ilx^NU#qL&L;MalgRKlI-`eY4+1S zVAWK-L*h_v48{&<bGxoI~~RMncG&!xBJ2U`TnJ=e4+1qyK7P&rH`Yc6*x+S+NLQ z`NuBTaWBMNBJ9^Qf$^>n*|_AJ6uq(@kElRB7x)&W(2}&0>sR>nF;~XsTM9$3@Fs4{ z;V$$r8*L||a>Z`d?w4j4)o+JOs?dx1D?9p~R(Gi+HP3TP^rFbtQ zEjDvECquVYqk^9r`jbMpDXwIsi?q5aBpGM){e&%rS71 zIe4N*AAM_dV1YGqYZZh}-g2^|eDdiMK_I5;Mv*=rlz5W7bH#1tv@4V9JCwyP_4e+e z_(|~3*)iE+#rO`8Fjrfg{pXaaUvr%dg^qi7&qR+vqVE55VUTdULcce~(4fNokKS$h zUqoSPD)&D~Wd!mMPFXBXt@}?=LGwTAH@aaP%DbDy^3Ve>s`20-m7JvMJQox8pIe3` z1w?ewCH($T9*47kAPjl6IDG=``<=P}MIW*|R=+!AQvOlOOKUXP|JPd`&%Ys%_Ilv` z>u&0XkfLbwCqy1HMT@G^q)tIdZ;5osVcUt_x1rIZdbnn0}z2*>utBW zZ@%rGixI4*e0`GgxV+>20ycISS9se$NUG@<2imQHH63sC0~a_{-05iIZ=v2RJjZ5~ zy!uJ&>14Cjbrs_hrnlCnmOmXG8rEri%N;q68-s>!?bpQ?tdeHy>e3FG`r8@KJ=`;7dugNh-+1eK z(MizB`D$C+o^G9~weNa9oQN%2znMGNvBsxOA2N6;)L*tote<2&i75Xh?kwUYlYtJJ z(g30lxa4f*EFi`ke};|Q3lOHk8lBH(`aGxoZ}l$6VI~u6eqg-+8w0JC48jbnK5XeB2Tkb(0`Ud5i7TyMG%r zaY6{T8uF~upDZfRP7`{bq$BBJ5-TuYp?$LjrwqewB~$5n-5Dh{r>@tGcTK8(wvmri zW*z|}6v3L;BF?=+Vr|V`$+4K@&}unhW1vh?~ksZ^6wm_I^USkWdNyahQ~x6x7E!F zhf!ObXsPTQU_$-V{LTd%tTI0iFW z`w}_F(n8wFoo`6an>|?ho~7bOmfZY_EQitw$KI5EhL$}P$=zs~Z${@uN=N^GRO+h@ zi|5t!>S`513{WZawl#$EuJg$?ke@>pJ<%(Zp3jPIH<$n?(N=QE2Ak|O!KYnq6!$Sw z%@c?grcO_0PI8VA8c$Y_pv^^@RHJwz;TM(a;%mAtHB1&yogw9bKaOgq@D-lb7X8#r z8sr9~$%{3-%p*5N(U{-h>4mOzU99Ji@7-5VfM1Op^;M^EHk!(8Fs~vb2^GlA1b+rb zqgEesy5bkU1wk4>1ENti5fFGa+w^&1T9=6FK-U~FwN?8MbDpJruK<&gKDVS0h;=(! zk;d+bC-1I-F@iDL^Spb;7aThY+OL^DZ&JdhNOMt1m6%!yL5srii@R|pT59kVfc0vY z#eS@0Z0C=LERSs6vA=Z~Pz~YZ7n8>ianAdPND9)x6&#tpKk%yI|XKpVY zSE|DLt7ZvSs-;nh+snH)4v*RtW?|q~$3Oyq4lT9)t%D0Miah>AYEfBnvM{7j{r}!!)?CR$_@LK{_w~L&bw%l00Glo zG>W11S-IZeL5QFt@A}Zr%{L^|HN)lbE##!qSZ>({pUf2>yf$*^-CSK5|E#I9z@QKk zFgEjpdkq5Z_q+b(F5n};93b($80*7@(bnhf2j&}xih*89Hmw=a*3<}ZM|V}(Y|sDP zQUmJ_9ytdw3drUx`eND-<Ym z9%cyLMrWbOW=)wau9{C5@tVTi9s-vVC!*FywqJw?lB9Y(<`!fVa~kBti84^-U#WTE z9SxDr`LLrH0^TM+p=t<#%*Y9F^zL7@cdhxR(GuC<`gC@ajRT4t&bV$YPi>(?A#LNw zikc7jzl(&Fk#-|#V%fmj-8fLas9+MYAK4$UMs{++wIO5jS$~LnC=g`6f?kF)m9?p7oGWekA0MxKk?7{HvDmyg zkH1^WKc%yJ92)J29z^_@??tFW*C|#F8k4M?)4A8ACJGk(-O_lr9bv3TKR!LWXHK2s zY@NyhU?4a2R$7rOzdRe9m6?#}1V$)x6ytzo6pKcOwpQjk2p^;BLLiOn+V0@BjudJu z`5^a$iQmoE!o}e?y^%>Mdgv=RSD#Bh&Sqbeu4GsB+q3KiWYiMPt(aq-hD&b)a1)QC zx9izJn`z(DZSi@o*DAX9DsERg?0TN!Xy2mvW>-g4J+9<2l*oN^*Eu$%bLDmD5*yk| ze&aj0vx%};mjB?c5skiFe6+Tfse%hEl}dMU{fTj&6wo8uQ~Y$mw`zw~quFC;m!hcZ%VUO`S0&6rJ zzUp%EitXMSeU!w4qt0TxEw_XJWly@NcGV=Qa*Us`>POQhDV(Fy^H*J7j~68`A@O*F zt}!U&nvE6i4_$zDgnKo%&Zx1g2}rZxV(_@D;(B!0*I(^69SX))r(85zltEZF9fF#*#!N9r7K65&q>3a;1tT+-M zTmRWO@FL5kz@@abP3X{wpO~)J=K83HE79d9p49Yf>dZzTN0>Kn$_ha<|H*J$!!5ym z_9@SByo7ik(#>*`8>imY_pg+YY7!zqkpx4P&oVLrM-@l^@}ph~3@_M=TFUgImLG{4 zloL$qtk6{88NHHH@97&Vr1XrHV7D|3Jm7T2A&$P!b4oYcNrL3 zq{io4d21m9Q%=ZN)eJXF8TA;Bz%}91?=w~_HLwX^t;HkJ8t^1AhOHn_a#iftXR)6; zgIygEO3(X+8<3K&={z(|ndT5yHw+S8qMM0LDth$cO1~4LJqRwiB#aGQ{$TpT6X47< z>k76J0lqMy0J0S2<5`p`b-V2cp5ht(3u|JkBur^ox2I7#u`Rte4&L7QKmmGV;pIDk zrT}AXH5;hUQ686H$D-sGE|Zo#)3lF4E)U!a;Ot|8qGcCZkWj_3vfNA$OmRvA?)WSPLFV7wo$T0}474s3+(UcKUWuBu<(Zr6{f$^O&j)J54@2zYA!B!t zXxrNj+2Bz)mj)J%L3Pov6xsdz;Fyske(nkHk6W*!`aIuVS-3d4TTe_`#?ZfPn0az? zyM?kkQ<*%V_X39q=NJS?a*5i&<2S8vj{HLxsx z@|uDNdjUmtfSU}*d<0lu)mhxb*#s=uI6Gfs|H!D{F^4{J=1CC2?Y;7YD1QD?KF;Bq z{q#)cv>l^Er`Ng3wvY*HXn)H>&A#`_u|U6U4$C)g3r*yWD0w#x_MWX1M+?&euPF@STugdgQPKR zj~x77xuC*l?ZFFgABy;t6b4@?fGLmw8sgA$iuvWn1!T(XcV}Q+(8o)ImN75*&ijD*lc1bBr&5C%Nrn$PBA0z z7vi0x(k~tUtzyP1Dt8-le}l$Y-YXEs`XL-IpWdW8;vP5q9ItXiwwX^TOSx0R>VD*{g_TK#r-5Bh2iWlR1B!d87Jn)UfDtw8(E#w z_}(_zVl1%1MaNKBKTO4qVtyYHIPAfTC8|8%jTWOR$-PFQh~erT8u=Za@pV zphT)k#wZeP{Vkn^@vH}4iKa2o@W&vS`h&0qBIyUV^Pl!bjC^t4EQAj5DG4c%#fCp+ zBEYIR7)2XC{f56_gw%REB{;95Q? zb`cO?<-(exTiMsLZ$yjyZeP9D?Kie1xMcYAQiHufSr9_XL|R?et;S&Cj)CO<3a#XK zrY4PYDz_!#A4U=^Cvs2~jeR&MGJ#3`Z#TaWAg}jp)z`1EL_}NcR0&4$YL1q1IC|Xk zoz{^JpNWb1ef7jZ18VHdDO@u~w||vBxAEz}`uUW~lyty%L?sRsuaBSw_P`%UJ6apy zVLh|j-UIJ-7|zP>>`u1ZJZ_F3CKA83k2n|nXC8YLeV4LlHB5TkpZdgPb25I>)*A2N zZ80uEdmL=+))7Mf;W}BpaWu{{wB-u#v39|w*&kl;A z9bvF^XBPzu8Y;k?fw~F~w;v0&lH<5!W6>*7Ba*(@TQ7mUKS>%xIo&SS?(~pCk=0=L z#KV!^_;SX*lO?5U2CqGs*rAf5GPM6EfXa#pc@c*U?0m|0AYF!KCI+tXIi(;wDdtRs zFUs*W-m`?BpAm#RJ460a(|Cd5vYB)ht|8)<$ z{xd9Jz)si*K|`F+tBc3bP}U46-{TbZwL@cEg^IrrsVk$T^qA_i(uL79>zvC_)VK+ zTn;@<7H;2uRDr^+axpzehU)sGmAxp^`in?SG|B=tlB*lwzsYksl^wE=J%sw z&4Ajx7Chpl?qn@ylqLy{-AU?9Abq~zh!rx%b*t~m)GQRoO?&uAssCkN4GX+-JzRW)P9V%l3|5 z8j#n&%~}0oq`9!gphJ6yCKLYQl(F~kEN>9xvO43P+5L`#wzY6E|5Km!tOgm8?f#Qk zll&KP$#k-kg9*j-5w_~3;S51aW4taif>2Xf!u_2Q*8WfOt&%$}(g5G&U$+*E@fGs# zBr&~=|53@*9*%mMK3>hfv&iNKgRTA%#|F}f?Gr)_@b~__3#7ag(+=G+|9`*gu+s6> zSMH|)v7p;011tQvsJ8Da3;pUyFiWvP`IN<>AYg2cDE7ya6fm$L4Dpf%vx6d+E<6w^ zpAPI#p&yL!!$)lTIX=a_-OIe~{)5L^6Ondf8hz;L_q(fr>Qg@6efKfPwHDs-rtKMt z3yJMNRDV=@!?0=P)9K47&xuqK6Ejx+ar&Lx4AuzJsZv+p5o|9sZIM$ zYBGB>S~ff#tX%7|*Q2N% z>j%3=Aukm*z?n#B4%Ld6ECU z)i#@cAK5lAWF%+zJJ}3~YrUBzg}qvo+CHPEMV;R^o$Ce9VGnhe%F!&pO+;Zh^(v}N zw*KF35Cz9L5v{y;qPtqV)_AgJx-GqN^#c_ovc%~yW15fjT)G$!##&FGl85=&Peok||CN%+o|^lL1idh5hAYlJjp9_=F3B?aV17XY|a>@$u=Z5~()E z9x^lyEducFeUUo{ySWBB@hs&Bb}+&i?gQae#<7Wd*b$r*7snvlwzsg-2wSC&tF{Of^rt*K9e~F3+kny+fcM{_SOnZa+lV)g& z;eb*~u|lOKdcvq2v|r@dVKS-Lg?PV-O)f4AsTX=r^oB4Cch$rqDJAKe6;sg0B}lll zF@H->%tUoy4d4uK!z%z(`X8CTKUr<-MiMi_LpB&0cF%SLK+LAr66X~95_wd-s3uFw zMBVapKDJ1UD>W48X_-?EGwX{`6}Jq2+zA6nguDVAw-cd_sS|I^+`IiKYA@psHnA^T z;R4Zo>&Tt6K?|}pH+EJ*jce%2O6NLIN))W&zzEX{Ck%}(!>nCf=y-qji0LJ=;#Dpp zXD4onhSe-3`IoTKkUdUTr#!FLAkrsHJWhpPnPmueKeB|Sx zoGonIMaX43!x53Bk~CSqeY}?!M3rbAC|0k<`y@h;n^4Q>2L-rmur0~mY=*(Htm(a$ zgaOrcrKozK>QKM}z6~t^XLB51Sxl%yB9t(7jzRmSs0g?bt`cIFw-WKPYtNlf@7k)o zGuT^}pI9!nMj2Ve*5NQ{f99KW7Tpg+5L&#BLmN~*U2gjyjl&-)56hR=vy{oPcP|K; zG~O0(g}J`^Uf9^B5j^eze9oh22+}BbhZ=X7Mo;WUc52uF0V$g<=LjPy7{oak-@L&s z)NdqBIl{kRIpx{)j4TcERpjCf z|E8Z7v1}>cRZf_hn|+^=(eomQq^WM{By7;-4vRxxg04+}YD;s_^RV}lX{!5|N$5)K zJ5|8w=tc%Kh(b%9p^4eHM+@)KDB{c!M=5pM?qVvj|JxDy*j}x5GP)#igGvu+*x*X4Az_K(yKvz-kNwf*kMUJIixYF@g4Z@MadU0&l&3Qu@=k z;#Jemv{0p>lbQt#8Ldb!5A5CVf*(Ep>asO1a$U`QInwH8Mi4k!B;#rQVIMYb^N#Aur z&%ifKePx@cWR{Yz?Kz{ubl9nGf#JI93&OtYl4{L}ZlD(aCc!Xd*5QT-UO8|7k=Nj8 z2+R101<_@8FC7dQTv{S^o_w6Dth>Q<$Pd(TYn?{nd9*g6HmR=flKOS*{xEGUc|;Vz z8F8c3?;#SxT{&3=^12 zrN2#hseY~Lj8c|e2j2|S*tQ*_>K--zwTFU&1&PLrDdwuB9m*!f`xYql%bwY;7jmI? z$&wnqs~8ta-iAYjr1pw1C%(VjoAeeRg)?b72v`3`S_nmqlq>pO5x*n7u&AHZq)#7k zW73`k9|G_H+>LV?V7a(Z)R)rk>@RXI67(VedB#~gU}F}?o4Z$xoUWz*Y1|f`(bPUR zDQTs%UL1Vl^W-mN*S?UZ)8WPm9*tZ{FVIdYuwMJ~*RxUNrEv|Lbh+S*T_S&=I(C-1 zGvEsY(B3SY>+l$E1lY<1r4oP1^nRgD%bfBtApb};=^8am#z-ZC%+-&G%9-_cRL`+ZvA{tX9Icw(9m&)& zphavyxb(UhKZk(XFp%dP5o5Bs?3~SNp(F`m3zO^A%7cT6ZFX4u^xsMH?W_Jh<3}sj zi-DpLy{d)|-#iBG=w~!tbYA6N%0OwMJ?ttx$>>`SLTU;#bF{2*_fr@iy!xD?yd~hu&=rhYBq$sl6 z+D}qLsVLL#i_){hNc`gFV-Pg&gz~u&QUwb0KeYU=RW|R%y9?}<2N{7Dg2S>&P5M*(3 zd{fRd;et9=dsQcc2K{hyBE`{eNS6QFvI3_iiT=&_! zODDJ_0;RE%{fEgfNVk>Q{=SwS+}csfW2osgk%ptm>aHQMuIybE2`%~^b^gu<2vO`i z+WxR76s*ikRJ)3Hv=wq&H)r>{tjXGMAph>+Iz}vI?}`f*$6Ai!g$V1)RrJ~%=9ekxCjue$4%ULu@Kh+#p9cFC?R1(SP7^N z1U~k?arcN~@AQB_Moc|;>up9Xj%(_5vQeo&F3RDk12n_YPGhKrd0b7%b$bjF&1=+z@p zho)0FuSH@ltUSM%cOLTSM%t^1fQw1kVH})v@DBF@n~f!MAModZ^8WZED;2lRkWAz^ zTZ_??389kw9W#H`4x>`RtdAxcvU6wW=I8$0wpO}@<7^RH52()Hf40(_Si=1TPxHey zvZT;U2|Qaol>t_@Uw-mMXf8*P=gI=c*GeKtTUlwZ21g~M6f#^{e*kWa6{l?m^TueE z9f5=(4fivcvmYw#Eg!A zx}J70h}pM7ZZu;HlPYOK8C-SbteA~t1_0d_q$Pu@g7|SPM^(%mK$D08QY@o10!xh) zur=H>0DOhgz3CU1^FfkiCm9rlj;6?7@v|xUc_5wHa z@Ii^gMMJ||&U~5YLVOa?Hc~K&D0qQ?&roUMPR+HN-A#41n~_x%KXAY2>)}wf#0uhE`7xfza)Ng46P0 zsUFUm2`V{qmF7oT)t%h+=-@$8jKpudIZ@nIg7%wAtP-5*=^L0}S;mkUL~tZoANV6? zn-3Btb9vQ`SFYgS)EsnKlQ!^4?}C73CsP&o435YTM+I*b@K8rTu)sdNGiW=~ePNVe zyV0DDF}>o=E(HYh1PISwf^n*hL&Cx%1boel=6o<7C_@u=vN1H#|9KQCto2CFEwLNe z{ty%UK1LC$LoUF!WN-`tTcPV?jv;Bf7&wegHfeSqfR&25_!vN_E?IcGAY~@bg}M>v z_Q`&Ih2vykr}*YuJ58E3_#=`>G~9L`5lwNkfGX0eD_Rq4j0&KrhId> z5f|EPIgBeQ+oT2Nco+R@ruf{=kJ3E60pB$3izC7X5WD|OyG*TM$4;P~G1K{_b0(Vv zc^GYeSe9dzr=P<~L{S4h8$G721dT+m!1LZZu9Sof%zS4|{pfM_U0R+rbM<5%-1_^8 zKPJfeaw&>ZRzNQZ{PCzQG+zB0N8Aa4dQL%J&Hj`{F^Zv?FuX>4ky8{)do_mxKQ@2< z2yGE2lo}CYT4&6!T;HtIH83F>^{Vv4t`z$b5c-hMDRY7^^#!0@E6mozh=(JnKmT+3(x6%>`6pqj7S1{Ak13+7`n_!M30BkCzR!S#z8E0 z!$upGtItw$CxXgBaq=A&Zm8yTsQm)qddP*sdS~b#2&4v> z2{MGMdZqf4G=o#fvIM?xmVhTkKE?P$?oqJnj1%!@H~Wu*5U!7Q-ZL+paMkd%`g;F_ z&SQ}Ji}ALzGLi;|Cr>W(7mkA&(6KaA8a{>}+8$-a@32We70nqjIMQ3PrgpSqBDB(; zqD~i_f+)v9=em$rhX?LX`GO3{8~1AuoqrP3M%^AU&^Jz@L2S_ug*f5$pUZu;Tl&Qi z>oi()ouVl&f~9_40nSTuXI|`zb0P*!5+r=HkB4R>CyOFGxc?|C4LQQ#0eto2w4ONF z#qbf3J>+2*{PPFzEHJ<=VRC$xY>NUs!YF7g$KeP!D*-{GAdZ~_U($9C%zbyvM+D{J zxoT{vMm_4Xwx6t;qF5{tIZ z9g^uVcf2Mq0gZkiE9n_W55WKzn;PT>2g#Y-N*RrBKLzt@ zxmHmw*-H(@u_bvBi@!>09QY)%Jq}FYrEytAW!|AiYn+9NfzV;O8|d{I0tiIJ0_CLG zDH*Fci;?iuj11b;u2j8LR%I>QK5ncjpk{E3g#KyjF+PA;tRgq@@R9`3qK^j^aXCB^5b1)R1Q)50jRbKG6RCPzhz0z#% z;QOF(!>+$mimUeqZT+`m%}#tG1NnG8Y9G)ct_vF-%*IIkVXVUL$g-MfFYtn9bpU>) z^jn>#6WcpR3cng;?=F#@?l+<50TTg*9Dq`0Em=SS5BltV#pTEqB0fbS+aE_QoepH( zenAz&bn|c!w6`*rNv6Sh<0#n{H+2{SGdpwD*nzg*nPG4PXBbdzD{)q}Vn< z*LsX9GYeu(GhVY(npjNf-`5-XibK^rnC#k^V`)7b2qtV7#i}bpZpSl|4n0}yXThk| zRPgViZnbs3ejuXSkf_(V1?eI?tL{j5$!h=YV@q4T`3_B?n%d=6-!FHNXPX%YiH@Ou z##_4>T#_~MgQHb>x-A2Uq&`Kp2(%UsWMarWjVHX>ym~$Xe#*LZN#^R6aII{#H_>B& zT3+SXDM$ud-WnFsUB<}a-vxAYF%PA+>2OBB2fCCY>UN{JMKL-A=nfJcc7wRw{9+YT zQ})`#Ty2?0nGygGebcNZ3_PIYlX%chVybq7!;+mZf5Upi%GHqg=$Y}TW`_W^$p>8) zRpu})vZ`fed*Q^bKeVK++k6MFh`p38 zliAj`n$0I!et_IUf(zxQ<7dN6lwp6FANpf|-+zyCkA;`Ep+b%)Vz|XWa9D5*>>F za7&?!oPPotU*r3p|09i-yfJF>y$kGv7@8mq@A)9!MSoTQsR;`;5>-d4v zUI%G+E-v|jFuIh7VOh9N9jo<~VNsV>gCnDh(stzSFB0p-)V28Qjzt;M)}vSh7YiB& zcWK!p2=MO_v+AsR7yRWS{xX$+xc}PI{%gEKgCn%%lUCDfzXjGh<(RbfXJLiTg>-XV zz3U=s?OF_zoLx}2FBSu?Fr*UpYs-AF6Be_{J)MzfWw&g~{xr!tQMVhYu@*oYIAy%5 zz|vnOnwpwcbqa-8S3c{)8{-tbhcjfyI+g>jcv;7y`nb5hmho-(TOTPomYWd8l+ zNc$Y5o!8j;0>x{#$>x``>)peyL8ng@rVQ1Gv4L|`lD*7#6A%!BmWRgDNf}neW&C+q zT9op~L+)=OF6l@6o1zSyo6G0EaRHL=RexJ-rCURT5XQz3JwS^aGam>(kl4M=JH-ar(^U2elS{18OzsJV{BA$PEC%r?b_cZ z%5Wzo$JVic6msHfM2mk>z&AQ*y_R;8u6hqSK9?`AIM5Zp2FRw%QhUtVQXGk5zR-X7 z<}lrs(ZJjw0#LS{8b0ROoJRO-q1?+RJd)CQA9STWx?1$cgqZS=S$lY54o2tLQmKRN zlc2g?&uoRUnu>Uk(R#3`)-H>>vm)3L(MWj~uM`+JINFG~Q6s3&+O1B*yLaBP<;;av z&8x(YB^+ivH7n;?19$6KVjh+0`_r<*Gk=V>KT%fqFR5InHZ#6cJHLh*O;Oe_@gAdH zsg_41bHMao#yNny9WWVM(dZ&R?LY~-36C73Ah&pk=ePVnevg=CR@3LG)7KRy-yoM; z)0`SZ;qZ{M4NU{Dvu1McLP+zUO`nPGT<+aY4ic1{;K_y-YA30>fwnt0Db<&FQeNbwnn=TOMQ#+8{=dlY;bi|p* z(7_AVa4gb2xXy?NCzz=IKdeMkL;X4AmV?ncc(FbbY}WMI@N!%#7t(9R z#F`Az&BPIZsXcZqs+v*I>{IFp7&}y9n@=r+L}Ps*_4aI&uVwhaKZ|lVnVNo?hffS- zdzc>$$rw;oA!99r%w1ahAG=8aplKA7pysUPk$H`5>B}H3AJ~qk2;|O?|B&%k zwQ|uev!q6%Qaa09Blg$ZElrV2Y=#2DxM zlnvf}e#d$rb{FHMG;+4Z(d6P*Dn04}Hzx73wKb2JK0{fM$nF}|3Oo=*zb z0=~)HK!VbzIfHVa11ywNt=QMaqmb(J=p=UF$}iDghHf!4H0aZ9X8>uRQY zM2Al1*PKr6D{*Nbyl*f#RkL5qWvkuUdk66G606OGh`Y)RAI6#K8lF zI11rK5NLku7r7mXxEU~#DynGw{)VB zyHEZ6;8+7|FlIQnK!OAYh!|cwU9kF%uR62G?mbPljdDwK7c4EdoSMsavaUbxdJ(V2 zpmg{Z^{|PL*0X-F=^ep9yPpnDF#krSovLyGj0Px}@;q!3UIkM{yNpb8M02kHsPB#J^cPQfTkP-!RlPnAreL0-Ot*zs7AO%x7(MylOw1G z)WkWlO}W!tG({kvq>d$uc0K}McFFYnCwKayCS5dJ^Je6Ke_FNpqP+D2*crIi8wDSR zrp^8+=IoZ9E0`V|uoC{G(0wcXlP0tNDci(iKV^88&dbMA-Zn}kEJ-741E!n@BNCbI zCFxYJ2uJ1CfjX@z${q{^3N$qsTWw>`51TH7_N&-aoTAUo5dT0Bc9rU!-j0)HNWQW< zfYyv!Mcl6#y!Hdkn?^S@ZDkN<=&DmA_e6xh;>-0v>%Z^%NlYDc{2htlVa`^tLM%OW zt5Z2OLkGHprS({o*bj%Zqfoj>3)5J@c8(ES(=)Df^9$^S5CQ@Q)d##%D5_ff5EGIHE^i#by)4F2urR$Qv+9k*sl)}WyoL+9B=bx7qIsy?{UVI zeX+I9j+~kAvEIa%4;au{cQcQ?@6>P4{(u?{NE^J+==nE^U-Zy?^Bh&VIkJo>9gR&i zcb-9O;q0oQQFRnkz5!aTxAyyzFJ(#jUDCT0mMm}SwT%RlR+LkU`Gw25vyz}i5pB@s zDd4ubK8fGB?^=Lua$OI*g&B=VW%vs(qmF%X))I?*hLR%hT9g^9n(I0e{*54Z02b>} zdi`nkl2U470EwuenB16BQrC>D##S-xFR z$0i#REhjPoq>sn;B4usV>Y!21R&Jc%z``Cum<7Iao0q51uxsG{UBqi((ZnPj<-!20 zSCA6&w*Gz4OVkMM_(9`Wk7UnD?8u|)CM7HHYNwZ{Pu#V**mCt>{uZG=vS4D-H8-KC z>stuRiT7#D!JMTzEeebdjC@`4JZVXl277gst)vF zwzy&m?`O_j{^=od0J;dlw?`E7%lru;=ue0X7nLV&WBPn?>>kqZV=x%;5SsiVw z1(>F(t-GLy3W%jV{fglb?ac1}C|4hB+Xz63q4~ur!}bYv(ShzRb=^Na^@e@1eTrDl z#1%p8vpi$GK84X`N$Ex@8M0VY9D?O$XM=FqXDE5iwGrFVfKjzXj0~FjJ?kG+W1Q{D z3?V&hmn6b&OE!mLHlaO^z8tEbH_WV8U1$FqNbc#BD;cKp(XdMhtLe?pxT+=@FH252 zQiBN=j|vpENtKT$^^_@xz(l4HXS_~dU2ooYyE?iK+j@z&=k8E#yRJxQy!XX758SMR z`}_D@8|se7$M@>PBB%T;k=-|^Q|Y;6R5$yS(N_#c`hbM-8XkU~4^s zTN+m0oGEX0zZvfK#dV*ll$sFQ^>ruuaT6HBCzE^mpvn)qq0rL(nzEqD%$VoLq-sjJ zUUEE1v-hR&e~qDJ+;IHtZvJ6279y#HEw;`=?9)o|4bYxh^y%=t?u_}RM3tuDt5P85 zRdUl0|Bd|J(RjwppjAT0G)uopv$HKpxt1&1sJO+YX8!%K3ry^cJFkjg#&)OXTU!#7 zwr1uN=r$!V0;R6O==;AI9#c5?ddi3r50cyDr#nt&?Mlx2!qefFkwQn)xTViJd78F| zaW&(7Ee6qQvjKVhWDhrTnu{G-s=EppehkHs!G?FRfJ+AIx14WQ;XqlL_do*fG>{`6 zq!_M@E)QDsqk+jI`Q+iv^Ozyz1gg%T%=`2gz_`lh0dps4Iz|Tz-vu#XlCd}n$z?F{ zB;Xm?U!U1t8YNbm!r_uIa?vJtQb$h^Dj4qOO%f@QPa(W92>JT7`HNJZAV#+C{{)Hw zb^fV;x$E+R?8@yuwPk7>+9=QF%_Q!4`>5H_F}~1KEW*!t9}AMBo@?sqPKOtu@P6+C zeU*}K>gn!MnA-D^ci)oE_|gRJ;U(-B<=_6v{ih=HCd1>0g0>}=MANK z9$iXKpqBP`cd2Q!Nr)g==-4=$jwEv5Qoad@lf!C;G`Wf*ux;?SdnOLzn;_R+@Dxe3 zUnvF~=r{hL+WuSYPZ!`jk-(;5`3t^Kz!pMRN_Pb>+S4F2>^UV($N_|E&P7t6VfDl zzCGLOlI&HTTj#U5zp#X}4Lqmv55G3^fzZw2OkVHM}oT2SPqPPg}&TM~bd54avE{pqGX*Syp|U8mI%Q*KC)~+0Sw~iC`_>ZrCuL!?PEjV_ j$z(Fwr!oKl0DyNLf^{sgS^x2=00000NkvXXu0mjfX?Op)